diff --git a/AUTHORS.txt b/AUTHORS.txt index f829127..3b8230f 100644 --- a/AUTHORS.txt +++ b/AUTHORS.txt @@ -1,7 +1,7 @@ FastFormat - Authors ==================== -Updated: 17th August 2012 +Updated: 7th August 2015 Authors: @@ -13,6 +13,8 @@ Authors: Bug reports, fixes, questions, requests and suggestions (for which we are very grateful): + Cláudio Albuquerque + - for assisting with VC++ 12 & 14 support Gerald Dalley - floating-pointer insertion (realised in real() inserter functions, in 0.3.1 beta 4) Jerzy Adamowski - Widestring problems diff --git a/build/gcc34.unix/makefile b/build/gcc34.unix/makefile index a75c788..cfdb53f 100644 --- a/build/gcc34.unix/makefile +++ b/build/gcc34.unix/makefile @@ -5,7 +5,7 @@ # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,21 @@ endif # !STLSOFT COMP_TAG = gcc34 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +49,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +75,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -241,6 +270,9 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -521,12 +553,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1978,14 +2014,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/gcc34.win32/makefile b/build/gcc34.win32/makefile index 3bd97f6..b1592a5 100644 --- a/build/gcc34.win32/makefile +++ b/build/gcc34.win32/makefile @@ -5,7 +5,7 @@ # compiler, on Win32 # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,21 @@ endif # !STLSOFT COMP_TAG = gcc34 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +49,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +75,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -237,6 +266,9 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)\include\ $(COMMON_INCLUDES)\ @@ -513,12 +545,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.h\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.hpp\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)\xtests\xtests.h\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ \ ############################################################################ diff --git a/build/gcc40.mac.x64/makefile b/build/gcc40.mac.x64/makefile index 0ac8d61..b11a217 100644 --- a/build/gcc40.mac.x64/makefile +++ b/build/gcc40.mac.x64/makefile @@ -5,7 +5,7 @@ # compiler, on Mac OS-X # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,21 @@ endif # !STLSOFT COMP_TAG = gcc40 ARCH_TAG = .x64 -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +49,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +75,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -237,6 +266,9 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -513,12 +545,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1936,14 +1972,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/gcc40.mac/makefile b/build/gcc40.mac/makefile index 803bd46..7bba0d5 100644 --- a/build/gcc40.mac/makefile +++ b/build/gcc40.mac/makefile @@ -5,7 +5,7 @@ # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,21 @@ endif # !STLSOFT COMP_TAG = gcc40 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +49,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +75,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -237,6 +266,9 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -513,12 +545,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1936,14 +1972,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/gcc40.unix/makefile b/build/gcc40.unix/makefile index b130233..8da990a 100644 --- a/build/gcc40.unix/makefile +++ b/build/gcc40.unix/makefile @@ -5,7 +5,7 @@ # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,21 @@ endif # !STLSOFT COMP_TAG = gcc40 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +49,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +75,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -241,6 +270,9 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -521,12 +553,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1978,14 +2014,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/gcc41.unix/makefile b/build/gcc41.unix/makefile index e0a7d54..944cf5b 100644 --- a/build/gcc41.unix/makefile +++ b/build/gcc41.unix/makefile @@ -5,7 +5,7 @@ # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,21 @@ endif # !STLSOFT COMP_TAG = gcc41 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +49,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +75,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -241,6 +270,9 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -521,12 +553,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1978,14 +2014,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/gcc42.unix/makefile b/build/gcc42.unix/makefile index cc737d6..2e4253f 100644 --- a/build/gcc42.unix/makefile +++ b/build/gcc42.unix/makefile @@ -5,7 +5,7 @@ # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,21 @@ endif # !STLSOFT COMP_TAG = gcc42 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +49,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +75,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -241,6 +270,9 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -521,12 +553,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1978,14 +2014,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/gcc43.unix/makefile b/build/gcc43.unix/makefile index 6065a24..29c2141 100644 --- a/build/gcc43.unix/makefile +++ b/build/gcc43.unix/makefile @@ -5,7 +5,7 @@ # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,24 @@ endif # !STLSOFT COMP_TAG = gcc43 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +52,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +78,45 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)/include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)/src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)/xcover +endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -241,6 +283,10 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -521,12 +567,21 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)/xcover/xcover.h\ + $(PROJ_INCLUDE_DIR)/xcover/internal/reporter.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1978,14 +2033,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/gcc44.unix/makefile b/build/gcc44.unix/makefile index 000f766..da09946 100644 --- a/build/gcc44.unix/makefile +++ b/build/gcc44.unix/makefile @@ -5,7 +5,7 @@ # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,24 @@ endif # !STLSOFT COMP_TAG = gcc44 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +52,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +78,45 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)/include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)/src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)/xcover +endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -241,6 +283,10 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -521,12 +567,21 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)/xcover/xcover.h\ + $(PROJ_INCLUDE_DIR)/xcover/internal/reporter.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1978,14 +2033,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/gcc45.unix/makefile b/build/gcc45.unix/makefile index dc52a2d..c6c9cbb 100644 --- a/build/gcc45.unix/makefile +++ b/build/gcc45.unix/makefile @@ -5,7 +5,7 @@ # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,24 @@ endif # !STLSOFT COMP_TAG = gcc45 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +52,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +78,45 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)/include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)/src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)/xcover +endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -241,6 +283,10 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -521,12 +567,21 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)/xcover/xcover.h\ + $(PROJ_INCLUDE_DIR)/xcover/internal/reporter.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1978,14 +2033,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/gcc46.unix/makefile b/build/gcc46.unix/makefile index 14ed403..8bdb37f 100644 --- a/build/gcc46.unix/makefile +++ b/build/gcc46.unix/makefile @@ -5,7 +5,7 @@ # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,24 @@ endif # !STLSOFT COMP_TAG = gcc46 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +52,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +78,45 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)/include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)/src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)/xcover +endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -241,6 +283,10 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -521,12 +567,21 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)/xcover/xcover.h\ + $(PROJ_INCLUDE_DIR)/xcover/internal/reporter.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1978,14 +2033,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/gcc47.unix/makefile b/build/gcc47.unix/makefile index e4396f4..3831cd5 100644 --- a/build/gcc47.unix/makefile +++ b/build/gcc47.unix/makefile @@ -5,7 +5,7 @@ # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,24 @@ endif # !STLSOFT COMP_TAG = gcc47 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +52,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +78,45 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +SHWILD_PROJ_INCLUDE = +ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)/src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)/src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract +endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)/include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)/src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)/xcover +endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)/src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -241,6 +283,10 @@ endif # STLSOFT_1_10 CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -521,12 +567,21 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)/xcover/xcover.h\ + $(PROJ_INCLUDE_DIR)/xcover/internal/reporter.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1978,14 +2033,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/sunpro59x.unix/makefile b/build/sunpro59x.unix/makefile index 7c8407a..0bf2421 100644 --- a/build/sunpro59x.unix/makefile +++ b/build/sunpro59x.unix/makefile @@ -5,7 +5,7 @@ # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -18,18 +18,21 @@ COMP_TAG = sunpro59 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -38,7 +41,7 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +TEST_VERBOSITY = 2 ######################################## # Directories @@ -62,11 +65,17 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)/bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)/loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild +SHWILD_PROJ_INCLUDE = +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)/shwild + +XCONTRACT_PROJ_INCLUDE = +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)/xcontract -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests +XTESTS_PROJ_INCLUDE = +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)/include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)/xtests ######################################## # tools @@ -217,6 +226,9 @@ STLSOFT_1_10_INCLUDE = CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)/include\ $(COMMON_INCLUDES)\ @@ -489,12 +501,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.h\ - $(PROJ_INCLUDE_DIR)/shwild/shwild.hpp\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.h\ + $(SHWILD_INCLUDE_DIR)/shwild/shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)/xcontract/xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)/xtests/xtests.h\ + $(XTESTS_INCLUDE_DIR)/xtests/xtests.h\ \ ############################################################################ @@ -1870,14 +1886,14 @@ FASTFORMAT_PREP_FILE = $(PROJ_INCLUDE_DIR)/fastformat/internal/dos2unix.has.bee $(STLSOFT_PREP_FILE): $(STLSOFT_SENTINEL_HEADER) @echo Ensuring all STLSoft C source files are in UNIX format @find $(STLSOFT) -name '*.h' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(STLSOFT_PREP_FILE) $(FASTFORMAT_PREP_FILE): $(FASTFORMAT_SENTINEL_HEADER) @echo Ensuring all fastformat - and bundled library - C source files are in UNIX format @find $(PROJ_BASE_DIR) -name '*.[ch]' | while read f ; do \ - set -e ; sed 's/\r$$//' $$f > $$f.tmp ; mv $$f.tmp $$f ; \ + set -e ; LC_ALL=C sed "s/$(printf '\r')$$//" $$f > $$f.tmp ; mv $$f.tmp $$f ; \ done @echo dos2unix.has.been.performed > $(FASTFORMAT_PREP_FILE) diff --git a/build/vc10.unixem/makefile b/build/vc10.unixem/makefile index 736e7e6..5c66461 100644 --- a/build/vc10.unixem/makefile +++ b/build/vc10.unixem/makefile @@ -1,11 +1,11 @@ ############################################################################ # File: makefile # -# Purpose: Makefile for the FastFormat project, for the Visual C++ 10.0 (x86 UNIXEm) +# Purpose: Makefile for the FastFormat project, for the Visual C++ 10.0 (x86 UNIXem) # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -32,22 +32,25 @@ COMP_TAG = vc10 ARCH_TAG = -OS_TAG = .unix +OS_TAG = .unix PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) -UNIXEM_VER_MAJOR = 1 +UNIXEM_VER_MAJOR = 1 UNIXEM_TAG_VER = unixem.$(XTESTS_VER_MAJOR) PROJ_MAKEFILE_NAME = makefile @@ -55,7 +58,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY ######################################## # Directories @@ -79,11 +84,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -220,6 +249,9 @@ STLSOFT_1_10_INCLUDE = CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)\include\ $(COMMON_INCLUDES)\ @@ -428,12 +460,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.h\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.hpp\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)\xtests\xtests.h\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ \ ############################################################################ diff --git a/build/vc10.x64/makefile b/build/vc10.x64/makefile index 637e438..fdcc4b3 100644 --- a/build/vc10.x64/makefile +++ b/build/vc10.x64/makefile @@ -5,7 +5,7 @@ # compiler, on Win64 # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,24 @@ COMP_TAG = vc10 ARCH_TAG = .x64 -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +52,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +78,45 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -297,6 +339,10 @@ STLSOFT_1_10_INCLUDE = CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)\include\ $(COMMON_INCLUDES)\ @@ -717,12 +763,21 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.h\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.hpp\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)\xcover\xcover.h\ + $(PROJ_INCLUDE_DIR)\xcover\internal\reporter.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)\xtests\xtests.h\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ \ ############################################################################ diff --git a/build/vc10/makefile b/build/vc10/makefile index 57fe389..bfa64cd 100644 --- a/build/vc10/makefile +++ b/build/vc10/makefile @@ -5,7 +5,7 @@ # compiler, on Win32 # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,24 @@ COMP_TAG = vc10 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +52,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +78,45 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -297,6 +339,10 @@ STLSOFT_1_10_INCLUDE = CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)\include\ $(COMMON_INCLUDES)\ @@ -717,12 +763,21 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.h\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.hpp\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)\xcover\xcover.h\ + $(PROJ_INCLUDE_DIR)\xcover\internal\reporter.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)\xtests\xtests.h\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ \ ############################################################################ diff --git a/build/vc11.unixem/makefile b/build/vc11.unixem/makefile new file mode 100644 index 0000000..9b9b545 --- /dev/null +++ b/build/vc11.unixem/makefile @@ -0,0 +1,3745 @@ +############################################################################ +# File: makefile +# +# Purpose: Makefile for the FastFormat project, for the Visual C++ 11 (x86 UNIXem) +# compiler, on UNIX +# +# Created: 22nd December 2007 +# Updated: 22nd September 2015 +# +############################################################################ + + +############################################################################ +# Environment check +# + +!ifndef STLSOFT +!error STLSOFT must be defined +!endif # !STLSOFT +!ifndef PTHREADS_WIN32 +!error PTHREADS_WIN32 must be defined +!endif # !PTHREADS_WIN32 +!ifndef UNIXEM +!error UNIXEM must be defined +!endif # !UNIXEM + +############################################################################ +# Symbols + +######################################## +# Project & compiler version + +COMP_TAG = vc11 +ARCH_TAG = +OS_TAG = .unix +PROJ_TAG = fastformat +PROJ_VER_MAJOR = 0 +PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) + +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) + +SHWILD_VER_MAJOR = 0 +SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XTESTS_VER_MAJOR = 0 +XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) + +UNIXEM_VER_MAJOR = 1 +UNIXEM_TAG_VER = unixem.$(XTESTS_VER_MAJOR) + +PROJ_MAKEFILE_NAME = makefile + +######################################## +# Testing verbosity + +TEST_VERBOSITY = 2 + +######################################## +# Directories + +PROJ_BASE_DIR = ..\.. +PROJ_BIN_DIR = $(PROJ_BASE_DIR)\bin +PROJ_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +PROJ_LIB_DIR = $(PROJ_BASE_DIR)\lib +PROJ_OBJ_DIR = . +PROJ_SRC_DIR = $(PROJ_BASE_DIR)\src +PROJ_EXAMPLES_DIR = $(PROJ_BASE_DIR)\examples +PROJ_SAMPLES_DIR = $(PROJ_BASE_DIR)\samples +PROJ_BUNDLES_DIR = $(PROJ_BASE_DIR)\test\data\bundles +PROJ_TEST_ROOT_DIR = $(PROJ_BASE_DIR)\test +PROJ_TEST_COMPONENT_DIR = $(PROJ_TEST_ROOT_DIR)\component +PROJ_TEST_PERFORMANCE_DIR = $(PROJ_TEST_ROOT_DIR)\performance +PROJ_TEST_SCRATCH_DIR = $(PROJ_TEST_ROOT_DIR)\scratch +PROJ_TEST_UNIT_DIR = $(PROJ_TEST_ROOT_DIR)\unit + +BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket + +LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt + +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR + +######################################## +# tools + +CC = cl +CPPC = cl +AR = lib +LD = link +RM = del /f +CP = copy +RC = rc + + +# Lib + +LIBS_LEADER = + +# lib paths + +ENV_LIBPATHS = +COMMON_LIBPATHS = -libpath:$(SYNESIS_DEV)/lib + + +LIBPATHS=\ +$(LIBS_LEADER)\ +-libpath:"$(PTHREADS_WIN32)\lib"\ +-libpath:"$(UNIXEM)\lib"\ +$(COMMON_LIBPATHS)\ +$(ENV_LIBPATHS)\ +\ + + +# lib names + +TARGET_LIB_MT_UX = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib ws2_32.lib pthreadVC.lib \ + \ + +TARGET_LIB_MT_UX_DEBUG = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib ws2_32.lib pthreadVC.lib \ + \ + + +# #defines + +COMMON_DEFS = -DUNIX + +CUSTOM_DEFS = + +# includes + +COMMON_INCLUDES = -I$(SYNESIS_DEV)/include -I$(SYNESIS_DEV)/libraries/include + + + +# CPPC flags + +CPPC_F_NOLOGO = -nologo +CPPC_F_COMPILE_ONLY = -c +CPPC_F_WARNING_MAX = -W4 -wd4800 +CPPC_F_WARNING_ERR = -WX +CPPC_F_EXCEPTIONS_ON = -EHsc +CPPC_F_EXCEPTIONS_OFF = -wd4530 +CPPC_F_EXCEPTIONS = $(CPPC_F_EXCEPTIONS_ON) +CPPC_F_RTTI_ON = -GR +CPPC_F_RTTI_OFF = -GR- +CPPC_F_RTTI = $(CPPC_F_RTTI_ON) +CPPC_F_MINIMUM_SIZE = -O1 +CPPC_F_MAXIMUM_SPEED = -O2 + +CPPC_FLAGS_BASE =\ + $(CPPC_F_NOLOGO)\ + $(CPPC_F_COMPILE_ONLY)\ + $(CPPC_F_WARNING_MAX)\ + $(CPPC_F_WARNING_ERR)\ + $(CPPC_F_EXCEPTIONS)\ + $(CPPC_F_RTTI)\ + \ + +CPPC_FLAGS_MT_UX =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_UX_DEBUG =\ + $(CPPC_FLAGS_BASE)\ + \ + + +# CPPC defines + +!ifdef FASTFORMAT_NO_NAG +NO_NAG_DEFS = -DFASTFORMAT_NO_VERSION_NAG=1 +!else # ? FASTFORMAT_NO_NAG +NO_NAG_DEFS = +!endif # FASTFORMAT_NO_NAG + +CPPC_DEFS_BASE =\ + $(COMMON_DEFS)\ + $(CUSTOM_DEFS)\ + $(NO_NAG_DEFS)\ + \ + +!ifdef BOOST +DEFINE_BOOST_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_BOOST +!else # BOOST +DEFINE_BOOST_FOR_PERFTEST = +!endif # BOOST + +DEFINE_LOKI_FOR_PERFTEST = + + +CPPC_DEFS_MT_UX =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_UX_DEBUG =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + + + +# CPPC includes + +!ifdef STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = -I"$(STLSOFT_1_10)/include" +!else # STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = +!endif # STLSOFT_1_10 + +CPPC_INCLUDES_BASE=\ + \ + -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ + $(STLSOFT_1_10_INCLUDE)\ + -I$(STLSOFT)\include\ + $(COMMON_INCLUDES)\ + -I"$(PTHREADS_WIN32)\include"\ + -I"$(UNIXEM)\include"\ + \ + +CPPC_CORE_INCLUDES_BASE=\ + -I$(PROJ_SRC_DIR)\ + $(CPPC_INCLUDES_BASE)\ + \ + +!ifdef BOOST +INCLUDE_BOOST_FOR_PERFTEST = -I"$(BOOST)" +!else # BOOST +INCLUDE_BOOST_FOR_PERFTEST = +!endif # BOOST + +INCLUDE_LOKI_FOR_PERFTEST = + + +CPPC_INCLUDES_MT_UX =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_UX_DEBUG =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + + + +CPPC_SHWILD_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_UX =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_UX_DEBUG =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + + +CPPC_XTESTS_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_UX =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_UX_DEBUG =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + + + +# CPPC args (= flags + defines + includes) + +CPPC_ARGS_MT_UX=\ + $(CPPC_FLAGS_MT_UX)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_UX) +CPPC_ARGS_MT_UX_DEBUG=\ + $(CPPC_FLAGS_MT_UX_DEBUG)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX_DEBUG)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_UX_DEBUG) + +CPPC_CORE_ARGS_MT_UX=\ + $(CPPC_FLAGS_MT_UX)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_UX_DEBUG=\ + $(CPPC_FLAGS_MT_UX_DEBUG)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX_DEBUG)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) + + + + +# AR Args + +AR_ARGS = -nologo + + +# LD flags + +LD_F_NOLOGO = -nologo + +LD_FLAGS_BASE =\ + $(LD_F_NOLOGO)\ + \ + +LD_FLAGS_MT_UX =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_UX_DEBUG =\ + $(LD_FLAGS_BASE)\ + \ + + +# LD includes + +LD_INCLUDES_BASE =\ + $(LIBPATHS)\ + \ + +LD_INCLUDES_MT_UX =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_UX_DEBUG =\ + $(LD_INCLUDES_BASE)\ + \ + + +LD_ARGS = + +LD_F_NOLOGO = -nologo + +# LD args + +LD_ARGS_MT_UX = libWinSysLog.$(COMP_TAG).mt.lib unixem.1.$(COMP_TAG).mt.lib -release $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_UX_DEBUG = libWinSysLog.$(COMP_TAG).mt.debug.lib unixem.1.$(COMP_TAG).mt.debug.lib -debug $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) + +############################################################################ +# Headers + +CORE_HEADERS=\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.h\ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\ff.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\implicit_link.h\ + $(PROJ_INCLUDE_DIR)\fastformat\init_codes.h\ + $(PROJ_INCLUDE_DIR)\fastformat\exceptions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\openrj_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\properties_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\winini_bundle.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\format\standard_flags.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_missing_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\mismatched_arguments_scope_base.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\integer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\real.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_e.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_f.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_g.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_i.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\internal\format_element.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\stlsoft.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\string_encoding.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\threading.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\initialiser.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\api_functions.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\helper_functions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\quality\contract.h\ + $(PROJ_INCLUDE_DIR)\fastformat\quality\cover.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\shims\action\fmt_slices\generic_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\fast_integers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\reals.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\void_pointers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ACE_CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\auto_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CComBSTR.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\char_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\c_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\FILE.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\null.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ostream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\OutputDebugString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\shim_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\speech.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\stringstream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\string_array.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\vectored_file.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.first_include.h\ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.last_include.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\util\memory\auto_buffer_selector.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\sinks\helpers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\string\snprintf.h\ + \ + +SHWILD_HEADERS=\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XTESTS_HEADERS=\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ + \ + +############################################################################ +# Names + +############################################################ +# Targets + +######################################## +# Libraries + +# fastformat +LIB_CORE_MT_UX = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.lib +LIB_CORE_MT_UX_DEBUG = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.debug.lib + +# Loki.SafeFormat - performance testing only +LIB_LOKI_SFMT_MT_UX = +LIB_LOKI_SFMT_MT_UX_DEBUG = + +# shwild - testing only +LIB_SHWILD_MT_UX = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_SHWILD_MT_UX_DEBUG = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib + +# xtests - unit/component testing only +LIB_XTESTS_MT_UX = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_XTESTS_MT_UX_DEBUG = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib + +######################################## +# Examples + +TARG_BITBUCKET_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.exe +TARG_BITBUCKET_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.debug.exe + +TARG_EX_boolean_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_character_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_reals_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_voidptrs_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_nons_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_flush_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_hex_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_integers_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_reals_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_itr_fmt_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_filt_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_ins_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_sas_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_fpcol_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_tabs_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_svrlg_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_rcins_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_pad_hash_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_cstr_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe + +TARG_EX_boolean_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_character_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_reals_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_voidptrs_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_nons_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_flush_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_hex_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_integers_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_reals_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_itr_fmt_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_filt_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_ins_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_sas_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_fpcol_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_tabs_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_svrlg_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_rcins_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_pad_hash_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_cstr_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe + + +######################################## +# Tests + +############################## +# Component Tests + +TARG_TEST_COMP_file_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_fmt_sink_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_hex_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_int_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_real_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_itr_fmt_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_null_sink_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_a_b_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_write_sink_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_x_1_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_ostm_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_file_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_int_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_real_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_null_sink_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_write_sink_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_x_1_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################## +# Performance Tests + +TARG_TEST_PERF_scen1_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen2_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen3_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen4_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen5_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen6_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen7_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen1_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen2_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen3_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen4_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen5_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen6_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen7_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################## +# Scratch Tests + +TARG_TEST_SCRT_file_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_vecfile_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.sink.vectored_file.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_file_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_vecfile_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.sink.vectored_file.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################## +# Unit Tests + +TARG_TEST_UNIT_api_acsllen_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_crlf_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_flrpl_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_init_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_ch_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_i_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_shim_fi_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_a_b_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_c_str_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_null_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ostm_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_sstm_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.exe +#TARG_TEST_UNIT_snk_vecfile_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.vec_file.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_init_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.debug.exe +#TARG_TEST_UNIT_snk_vecfile_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.vec_file.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################################################################ +# Objects + +######################################## +# Libraries + +# fastformat + +OBJ_cr_api_MT_UX = $(PROJ_OBJ_DIR)\core.api.mt.obj +OBJ_cr_fsdhndlrs_MT_UX = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.obj +OBJ_cr_fmtcache_MT_UX = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.obj +OBJ_cr_initstr_MT_UX = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.obj +OBJ_cr_mempool_MT_UX = $(PROJ_OBJ_DIR)\core.mempool.mt.obj +OBJ_cr_replacements_MT_UX = $(PROJ_OBJ_DIR)\core.replacements.mt.obj +OBJ_cr_snprintf_MT_UX = $(PROJ_OBJ_DIR)\core.snprintf.mt.obj + +OBJ_CORE_MT_UX = \ + $(OBJ_cr_api_MT_UX)\ + $(OBJ_cr_fsdhndlrs_MT_UX)\ + $(OBJ_cr_fmtcache_MT_UX)\ + $(OBJ_cr_initstr_MT_UX)\ + $(OBJ_cr_mempool_MT_UX)\ + $(OBJ_cr_replacements_MT_UX)\ + $(OBJ_cr_snprintf_MT_UX)\ + \ + +OBJ_cr_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.api.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.obj +OBJ_cr_fmtcache_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.obj +OBJ_cr_initstr_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.obj +OBJ_cr_mempool_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.obj +OBJ_cr_replacements_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.obj +OBJ_cr_snprintf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.obj +PDB_cr_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.api.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.pdb +PDB_cr_fmtcache_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.pdb +PDB_cr_initstr_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.pdb +PDB_cr_mempool_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.pdb +PDB_cr_replacements_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.pdb +PDB_cr_snprintf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.pdb + +OBJ_CORE_MT_UX_DEBUG = \ + $(OBJ_cr_api_MT_UX_DEBUG)\ + $(OBJ_cr_fsdhndlrs_MT_UX_DEBUG)\ + $(OBJ_cr_fmtcache_MT_UX_DEBUG)\ + $(OBJ_cr_initstr_MT_UX_DEBUG)\ + $(OBJ_cr_mempool_MT_UX_DEBUG)\ + $(OBJ_cr_replacements_MT_UX_DEBUG)\ + $(OBJ_cr_snprintf_MT_UX_DEBUG)\ + \ + +PDB_CORE_MT_UX_DEBUG = \ + $(PDB_cr_api_MT_UX_DEBUG)\ + $(PDB_cr_fsdhndlrs_MT_UX_DEBUG)\ + $(PDB_cr_fmtcache_MT_UX_DEBUG)\ + $(PDB_cr_initstr_MT_UX_DEBUG)\ + $(PDB_cr_mempool_MT_UX_DEBUG)\ + $(PDB_cr_replacements_MT_UX_DEBUG)\ + $(PDB_cr_snprintf_MT_UX_DEBUG)\ + \ + + +# Loki.SafeFormat + +OBJ_lok_sfmt_MT_UX = +PDB_lok_sfmt_MT_UX = +OBJ_LOKI_SFMT_MT_UX_PM = +PDB_LOKI_SFMT_MT_UX_PM = +OBJ_lok_sfmt_MT_UX_DEBUG = +PDB_lok_sfmt_MT_UX_DEBUG = +OBJ_LOKI_SFMT_MT_UX_DEBUG_PM = +PDB_LOKI_SFMT_MT_UX_DEBUG_PM = + +# shwild + +OBJ_shw_api_MT_UX = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.obj +OBJ_shw_matches_MT_UX = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.obj +OBJ_shw_pattern_MT_UX = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.obj + +OBJ_SHWILD_MT_UX = \ + $(OBJ_shw_api_MT_UX)\ + $(OBJ_shw_matches_MT_UX)\ + $(OBJ_shw_pattern_MT_UX)\ + \ + +OBJ_shw_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.obj +OBJ_shw_matches_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.obj +OBJ_shw_pattern_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.obj +PDB_shw_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.pdb +PDB_shw_matches_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.pdb +PDB_shw_pattern_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.pdb + +OBJ_SHWILD_MT_UX_DEBUG = \ + $(OBJ_shw_api_MT_UX_DEBUG)\ + $(OBJ_shw_matches_MT_UX_DEBUG)\ + $(OBJ_shw_pattern_MT_UX_DEBUG)\ + \ + +PDB_SHWILD_MT_UX_DEBUG = \ + $(PDB_shw_api_MT_UX_DEBUG)\ + $(PDB_shw_matches_MT_UX_DEBUG)\ + $(PDB_shw_pattern_MT_UX_DEBUG)\ + \ + + +# xtests + +OBJ_xts_core_MT_UX = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.obj + +OBJ_XTESTS_MT_UX = \ + $(OBJ_xts_core_MT_UX)\ + \ + +OBJ_xts_core_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.obj +PDB_xts_core_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.pdb + +OBJ_XTESTS_MT_UX_DEBUG = \ + $(OBJ_xts_core_MT_UX_DEBUG)\ + \ + +PDB_CORE_MT_UX_DEBUG = \ + $(PDB_xts_core_MT_UX_DEBUG)\ + \ + + +######################################## +# Examples + +OBJ_BITBUCKET_MT_UX = $(PROJ_OBJ_DIR)\bitbucket.mt.obj +OBJ_BITBUCKET_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\bitbucket.mt.debug.obj + +OBJ_EX_boolean_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.obj +OBJ_EX_character_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.character.mt.obj +OBJ_EX_reals_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.obj +OBJ_EX_voidptrs_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.obj +OBJ_EX_ff_101_MT_UX = $(PROJ_OBJ_DIR)\example.ff_101.mt.obj +OBJ_EX_ff_101_nons_MT_UX = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.obj +OBJ_EX_flush_MT_UX = $(PROJ_OBJ_DIR)\example.flush.mt.obj +OBJ_EX_ins_hex_MT_UX = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.obj +OBJ_EX_ins_integers_MT_UX = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.obj +OBJ_EX_ins_reals_MT_UX = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.obj +OBJ_EX_itr_fmt_MT_UX = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.obj +OBJ_EX_ovld2_filt_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.obj +OBJ_EX_ovld2_ins_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.obj +OBJ_EX_ovld2_sas_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.obj +OBJ_EX_ovld3_fpcol_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.obj +OBJ_EX_ovld3_tabs_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.obj +OBJ_EX_ovld3_svrlg_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.obj +OBJ_EX_ovld3_rcins_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.obj +OBJ_EX_pad_hash_MT_UX = $(PROJ_OBJ_DIR)\example.pad.hash.mt.obj +OBJ_EX_sink_cstr_MT_UX = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.obj + +OBJ_EX_boolean_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.debug.obj +OBJ_EX_character_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.character.mt.debug.obj +OBJ_EX_reals_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.debug.obj +OBJ_EX_voidptrs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.debug.obj +OBJ_EX_ff_101_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ff_101.mt.debug.obj +OBJ_EX_ff_101_nons_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.debug.obj +OBJ_EX_flush_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.flush.mt.debug.obj +OBJ_EX_ins_hex_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.debug.obj +OBJ_EX_ins_integers_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.debug.obj +OBJ_EX_ins_reals_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.debug.obj +OBJ_EX_itr_fmt_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.debug.obj +OBJ_EX_ovld2_filt_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.debug.obj +OBJ_EX_ovld2_ins_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.debug.obj +OBJ_EX_ovld2_sas_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.debug.obj +OBJ_EX_ovld3_fpcol_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.debug.obj +OBJ_EX_ovld3_tabs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.debug.obj +OBJ_EX_ovld3_svrlg_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.debug.obj +OBJ_EX_ovld3_rcins_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.debug.obj +OBJ_EX_pad_hash_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.pad.hash.mt.debug.obj +OBJ_EX_sink_cstr_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.debug.obj + + +######################################## +# Tests + +############################## +# Component Tests + +OBJ_TEST_COMP_file_MT_UX = $(PROJ_OBJ_DIR)\test.component.FILE.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.obj +OBJ_TEST_COMP_ins_hex_MT_UX = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.obj +OBJ_TEST_COMP_ins_int_MT_UX = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.obj +OBJ_TEST_COMP_ins_real_MT_UX = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_UX = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.obj +OBJ_TEST_COMP_null_sink_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.obj +OBJ_TEST_COMP_write_sink_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.obj +OBJ_TEST_COMP_x_1_MT_UX = $(PROJ_OBJ_DIR)\test.component.fsde.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.obj +OBJ_TEST_COMP_file_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.FILE.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.fsde.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.debug.obj + +############################## +# Performance Tests + +OBJ_TEST_PERF_scen1_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.obj +OBJ_TEST_PERF_scen2_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.obj +OBJ_TEST_PERF_scen3_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.obj +OBJ_TEST_PERF_scen4_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.obj +OBJ_TEST_PERF_scen5_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.obj +OBJ_TEST_PERF_scen6_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.obj +OBJ_TEST_PERF_scen7_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.obj +OBJ_TEST_PERF_scen1_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.debug.obj + +############################## +# Scratch Tests + +OBJ_TEST_SCRT_file_MT_UX = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.obj +OBJ_TEST_SCRT_file_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.debug.obj + +OBJ_TEST_SCRT_vecfile_MT_UX = $(PROJ_OBJ_DIR)\test.scratch.sink.vectored_file.mt.obj +OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.scratch.sink.vectored_file.mt.debug.obj + +############################## +# Unit Tests + +OBJ_TEST_UNIT_api_acsllen_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.obj +OBJ_TEST_UNIT_api_init_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_UX = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_UX = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.obj +OBJ_TEST_UNIT_ins_i_MT_UX = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_UX = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.obj +OBJ_TEST_UNIT_snk_null_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.obj +#OBJ_TEST_UNIT_snk_vecfile_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.vec_file.mt.obj + +OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.debug.obj +#OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.vec_file.mt.debug.obj + +################################################## +# Target groups + +######################################## +# Objects + +# fastformat +OBJS_CORE = \ + $(OBJ_CORE_MT_UX)\ + $(OBJ_CORE_MT_UX_DEBUG)\ + \ + +# Loki.SafeFormat +OBJS_LOKI_SFMT = \ + $(OBJ_LOKI_SFMT_MT_UX)\ + $(OBJ_LOKI_SFMT_MT_UX_DEBUG)\ + \ + +# shwild +OBJS_SHWILD = \ + $(OBJ_SHWILD_MT_UX)\ + $(OBJ_SHWILD_MT_UX_DEBUG)\ + \ + +# xtests +OBJS_XTESTS = \ + $(OBJ_XTESTS_MT_UX)\ + $(OBJ_XTESTS_MT_UX_DEBUG)\ + \ + +# Examples +OBJS_EXAMPLE=\ + $(OBJ_EX_boolean_MT_UX)\ + $(OBJ_EX_character_MT_UX)\ + $(OBJ_EX_reals_MT_UX)\ + $(OBJ_EX_voidptrs_MT_UX)\ + $(OBJ_EX_ff_101_MT_UX)\ + $(OBJ_EX_ff_101_nons_MT_UX)\ + $(OBJ_EX_flush_MT_UX)\ + $(OBJ_EX_ins_hex_MT_UX)\ + $(OBJ_EX_ins_integers_MT_UX)\ + $(OBJ_EX_ins_reals_MT_UX)\ + $(OBJ_EX_itr_fmt_MT_UX)\ + $(OBJ_EX_ovld2_filt_MT_UX)\ + $(OBJ_EX_ovld2_ins_MT_UX)\ + $(OBJ_EX_ovld2_sas_MT_UX)\ + $(OBJ_EX_ovld3_fpcol_MT_UX)\ + $(OBJ_EX_ovld3_tabs_MT_UX)\ + $(OBJ_EX_ovld3_svrlg_MT_UX)\ + $(OBJ_EX_ovld3_rcins_MT_UX)\ + $(OBJ_EX_pad_hash_MT_UX)\ + $(OBJ_EX_sink_cstr_MT_UX)\ + $(OBJ_EX_boolean_MT_UX_DEBUG)\ + $(OBJ_EX_character_MT_UX_DEBUG)\ + $(OBJ_EX_reals_MT_UX_DEBUG)\ + $(OBJ_EX_voidptrs_MT_UX_DEBUG)\ + $(OBJ_EX_ff_101_MT_UX_DEBUG)\ + $(OBJ_EX_ff_101_nons_MT_UX_DEBUG)\ + $(OBJ_EX_flush_MT_UX_DEBUG)\ + $(OBJ_EX_ins_hex_MT_UX_DEBUG)\ + $(OBJ_EX_ins_integers_MT_UX_DEBUG)\ + $(OBJ_EX_ins_reals_MT_UX_DEBUG)\ + $(OBJ_EX_itr_fmt_MT_UX_DEBUG)\ + $(OBJ_EX_ovld2_filt_MT_UX_DEBUG)\ + $(OBJ_EX_ovld2_ins_MT_UX_DEBUG)\ + $(OBJ_EX_ovld2_sas_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(OBJ_EX_pad_hash_MT_UX_DEBUG)\ + $(OBJ_EX_sink_cstr_MT_UX_DEBUG)\ + \ + +# Component Tests +OBJS_TEST_COMPONENT=\ + $(OBJ_TEST_COMP_file_MT_UX)\ + $(OBJ_TEST_COMP_fmt_sink_MT_UX)\ + $(OBJ_TEST_COMP_ins_hex_MT_UX)\ + $(OBJ_TEST_COMP_ins_int_MT_UX)\ + $(OBJ_TEST_COMP_ins_real_MT_UX)\ + $(OBJ_TEST_COMP_itr_fmt_MT_UX)\ + $(OBJ_TEST_COMP_null_sink_MT_UX)\ + $(OBJ_TEST_COMP_snk_a_b_MT_UX)\ + $(OBJ_TEST_COMP_write_sink_MT_UX)\ + $(OBJ_TEST_COMP_x_1_MT_UX)\ + $(OBJ_TEST_COMP_snk_ostm_MT_UX)\ + $(OBJ_TEST_COMP_file_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + \ + +# Performance Tests +OBJS_TEST_PERFORMANCE_BOOST= + + +OBJS_TEST_PERFORMANCE=\ + $(OBJ_TEST_PERF_scen1_MT_UX)\ + $(OBJ_TEST_PERF_scen2_MT_UX)\ + $(OBJ_TEST_PERF_scen3_MT_UX)\ + $(OBJ_TEST_PERF_scen4_MT_UX)\ + $(OBJ_TEST_PERF_scen5_MT_UX)\ + $(OBJ_TEST_PERF_scen6_MT_UX)\ + $(OBJ_TEST_PERF_scen7_MT_UX)\ + $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(OBJS_TEST_PERFORMANCE_BOOST)\ + \ + +# Scratch Tests +OBJS_TEST_SCRATCH=\ + $(OBJ_TEST_SCRT_file_MT_UX)\ + $(OBJ_TEST_SCRT_vecfile_MT_UX)\ + $(OBJ_TEST_SCRT_file_MT_UX_DEBUG)\ + $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + \ + +# Unit Tests +OBJS_TEST_UNIT=\ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX)\ + $(OBJ_TEST_UNIT_api_crlf_MT_UX)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX)\ + $(OBJ_TEST_UNIT_api_init_MT_UX)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(OBJ_TEST_UNIT_ins_ch_MT_UX)\ + $(OBJ_TEST_UNIT_ins_i_MT_UX)\ + $(OBJ_TEST_UNIT_shim_fi_MT_UX)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX)\ + $(OBJ_TEST_UNIT_snk_null_MT_UX)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + \ + +######################################## +# Libraries + +TARGETS_LIB_CORE = \ + $(LIB_CORE_MT_UX)\ + $(LIB_CORE_MT_UX_DEBUG)\ + \ + +TARGETS_LIB_LOKI_SFMT = \ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + \ + +TARGETS_LIB_SHWILD = \ + $(LIB_SHWILD_MT_UX)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + \ + +TARGETS_LIB_XTESTS = \ + $(LIB_XTESTS_MT_UX)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + \ + +######################################## +# Programs + +TARGETS_BITBUCKET=\ + $(TARG_BITBUCKET_MT_UX)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + \ + +TARGETS_EXAMPLE=\ + $(TARG_EX_boolean_MT_UX)\ + $(TARG_EX_character_MT_UX)\ + $(TARG_EX_reals_MT_UX)\ + $(TARG_EX_voidptrs_MT_UX)\ + $(TARG_EX_ff_101_MT_UX)\ + $(TARG_EX_ff_101_nons_MT_UX)\ + $(TARG_EX_flush_MT_UX)\ + $(TARG_EX_ins_hex_MT_UX)\ + $(TARG_EX_ins_integers_MT_UX)\ + $(TARG_EX_ins_reals_MT_UX)\ + $(TARG_EX_itr_fmt_MT_UX)\ + $(TARG_EX_ovld2_filt_MT_UX)\ + $(TARG_EX_ovld2_ins_MT_UX)\ + $(TARG_EX_ovld2_sas_MT_UX)\ + $(TARG_EX_ovld3_fpcol_MT_UX)\ + $(TARG_EX_ovld3_tabs_MT_UX)\ + $(TARG_EX_ovld3_svrlg_MT_UX)\ + $(TARG_EX_ovld3_rcins_MT_UX)\ + $(TARG_EX_pad_hash_MT_UX)\ + $(TARG_EX_sink_cstr_MT_UX)\ + $(TARG_EX_boolean_MT_UX_DEBUG)\ + $(TARG_EX_character_MT_UX_DEBUG)\ + $(TARG_EX_reals_MT_UX_DEBUG)\ + $(TARG_EX_voidptrs_MT_UX_DEBUG)\ + $(TARG_EX_ff_101_MT_UX_DEBUG)\ + $(TARG_EX_ff_101_nons_MT_UX_DEBUG)\ + $(TARG_EX_flush_MT_UX_DEBUG)\ + $(TARG_EX_ins_hex_MT_UX_DEBUG)\ + $(TARG_EX_ins_integers_MT_UX_DEBUG)\ + $(TARG_EX_ins_reals_MT_UX_DEBUG)\ + $(TARG_EX_itr_fmt_MT_UX_DEBUG)\ + $(TARG_EX_ovld2_filt_MT_UX_DEBUG)\ + $(TARG_EX_ovld2_ins_MT_UX_DEBUG)\ + $(TARG_EX_ovld2_sas_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(TARG_EX_pad_hash_MT_UX_DEBUG)\ + $(TARG_EX_sink_cstr_MT_UX_DEBUG)\ + \ + +TARGETS_EXAMPLE_OVERLOAD_3=\ + \ + +TARGETS_SAMPLE=\ + \ + +TARGETS_TEST_COMPONENT=\ + $(TARG_TEST_COMP_file_MT_UX)\ + $(TARG_TEST_COMP_fmt_sink_MT_UX)\ + $(TARG_TEST_COMP_ins_hex_MT_UX)\ + $(TARG_TEST_COMP_ins_int_MT_UX)\ + $(TARG_TEST_COMP_ins_real_MT_UX)\ + $(TARG_TEST_COMP_itr_fmt_MT_UX)\ + $(TARG_TEST_COMP_null_sink_MT_UX)\ + $(TARG_TEST_COMP_snk_a_b_MT_UX)\ + $(TARG_TEST_COMP_write_sink_MT_UX)\ + $(TARG_TEST_COMP_x_1_MT_UX)\ + $(TARG_TEST_COMP_snk_ostm_MT_UX)\ + $(TARG_TEST_COMP_file_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + \ + +TARGETS_TEST_PERFORMANCE_BOOST= + + +TARGETS_TEST_PERFORMANCE=\ + $(TARG_TEST_PERF_scen1_MT_UX)\ + $(TARG_TEST_PERF_scen2_MT_UX)\ + $(TARG_TEST_PERF_scen3_MT_UX)\ + $(TARG_TEST_PERF_scen4_MT_UX)\ + $(TARG_TEST_PERF_scen5_MT_UX)\ + $(TARG_TEST_PERF_scen6_MT_UX)\ + $(TARG_TEST_PERF_scen7_MT_UX)\ + $(TARG_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(TARGETS_TEST_PERFORMANCE_BOOST)\ + \ + +TARGETS_TEST_SCRATCH=\ + $(TARG_TEST_SCRT_file_MT_UX)\ + $(TARG_TEST_SCRT_vecfile_MT_UX)\ + $(TARG_TEST_SCRT_file_MT_UX_DEBUG)\ + $(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + \ + +TARGETS_TEST_UNIT=\ + $(TARG_TEST_UNIT_api_acsllen_MT_UX)\ + $(TARG_TEST_UNIT_api_crlf_MT_UX)\ + $(TARG_TEST_UNIT_api_flrpl_MT_UX)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_UX)\ + $(TARG_TEST_UNIT_api_init_MT_UX)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_UX)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_UX)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_UX)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(TARG_TEST_UNIT_ins_ch_MT_UX)\ + $(TARG_TEST_UNIT_ins_i_MT_UX)\ + $(TARG_TEST_UNIT_shim_fi_MT_UX)\ + $(TARG_TEST_UNIT_snk_a_b_MT_UX)\ + $(TARG_TEST_UNIT_snk_c_str_MT_UX)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_UX)\ + $(TARG_TEST_UNIT_snk_null_MT_UX)\ + $(TARG_TEST_UNIT_snk_ostm_MT_UX)\ + $(TARG_TEST_UNIT_snk_sstm_MT_UX)\ + $(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + \ + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] )\ + +############################################################ +# Third-party libraries + + + +############################################################################ +# External Targets (invokable by make) + +# primary + +_default: build + +usage: + @echo "make [usage | compile | build | test | clean] - default is 'build'" + +# infrastructure + + + +prep.files.for.os: $(PROJ_MAKEFILE_NAME)\ + \ + +prep: prep.files.for.os + + +check.lib.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + +check.bin.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_BIN_DIR)\NUL mkdir $(PROJ_BIN_DIR) + + +# compile targets + +compile.libs.core: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_CORE) + +compile.libs.loki.safefmt: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_LOKI_SFMT) + +compile.libs.shwild: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SHWILD) + +compile.libs.xtests: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_XTESTS) + +compile.libs: compile.libs.core compile.libs.loki.safefmt compile.libs.shwild compile.libs.xtests + +compile.bitbucket: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_BITBUCKET) + +compile.examples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_EXAMPLE) + +compile.samples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SAMPLE) + +compile.test.component: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_COMPONENT) + +compile.test.performance: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_PERFORMANCE) + +compile.test.scratch: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_SCRATCH) + +compile.test.unit: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_UNIT) + +compile.test: compile.test.unit compile.test.component + +compile.test.all: compile.test compile.test.scratch compile.test.performance + +compile: compile.libs compile.test compile.examples compile.samples + +compile.all: compile compile.test.all + + + +# build targets + +build.libs.core: check.lib.dir prep.files.for.os $(TARGETS_LIB_CORE) + +build.libs.loki.safefmt: check.lib.dir prep.files.for.os $(TARGETS_LIB_LOKI_SFMT) + +build.libs.shwild: check.lib.dir prep.files.for.os $(TARGETS_LIB_SHWILD) + +build.libs.xtests: check.lib.dir prep.files.for.os $(TARGETS_LIB_XTESTS) + +build.libs: build.libs.core build.libs.loki.safefmt build.libs.shwild build.libs.xtests + +build.bitbucket: check.bin.dir prep.files.for.os $(TARGETS_BITBUCKET) + +build.examples: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE) + +build.examples.overload.3: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE_OVERLOAD_3) + +build.samples: check.bin.dir prep.files.for.os $(TARGETS_SAMPLE) + +build.test.component: check.bin.dir prep.files.for.os $(TARGETS_TEST_COMPONENT) + +build.test.performance: check.bin.dir prep.files.for.os $(TARGETS_TEST_PERFORMANCE) + +build.test.scratch: check.bin.dir prep.files.for.os $(TARGETS_TEST_SCRATCH) + +build.test.unit: check.bin.dir prep.files.for.os $(TARGETS_TEST_UNIT) + +build.test: build.test.unit build.test.component build.test.performance + +build.test.all: build.test build.test.scratch + +build: build.libs build.examples build.samples build.test + +build.all: build build.test.all + + + +# test targets + +test.component_: + @echo Running Test:Component programs ... + $(TARG_TEST_COMP_file_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + +test.performance_: + @echo Running Test:Performance programs ... + +test.scratch_: + @echo Running Test:Scratch programs ... + $(TARG_TEST_SCRT_file_MT_UX) + $(TARG_TEST_SCRT_vecfile_MT_UX) + $(TARG_TEST_SCRT_file_MT_UX_DEBUG) + $(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG) + +test.unit_: + @echo Running Test:Unit programs ... + $(TARG_TEST_UNIT_api_acsllen_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] ) --verbosity=$(TEST_VERBOSITY) + +test.examples_: + @echo Running Example programs ... + $(TARG_EX_boolean_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ins_hex_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ins_integers_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ins_reals_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_itr_fmt_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld2_filt_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_UX) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld3_tabs_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld3_svrlg_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld3_rcins_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_pad_hash_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_sink_cstr_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_boolean_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ins_hex_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ins_integers_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ins_reals_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_itr_fmt_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld2_filt_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_UX_DEBUG) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld3_tabs_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld3_svrlg_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld3_rcins_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_pad_hash_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_sink_cstr_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + +test.examples.overload.3_: + @echo Running Overload 3 Example programs ... + +test.component: build.test.component test.component_ + +test.performance: build.test.performance test.performance_ + +test.scratch: build.test.scratch test.scratch_ + +test.unit: build.test.unit test.unit_ + +test.examples: build.examples test.examples_ + +test.examples.overload.3: build.examples.overload.3 test.examples.overload.3_ + +test: test.unit test.component + +test.all: build.all test test.performance test.scratch test.examples +test-all: test.all + + + +# clean targets + +clean.libs.core: + @echo cleaning Core libraries ... + @-$(RM) $(LIB_CORE_MT_UX) + @-$(RM) $(LIB_CORE_MT_UX_DEBUG) + @-$(RM) $(OBJ_CORE_MT_UX) + @-$(RM) $(OBJ_CORE_MT_UX_DEBUG) + @-$(RM) $(PDB_CORE_MT_UX_DEBUG) + +clean.libs.loki.safefmt: + @echo cleaning Loki.SafeFormat libraries ... + @-$(RM) $(LIB_LOKI_SFMT_MT_UX) + @-$(RM) $(LIB_LOKI_SFMT_MT_UX_DEBUG) + @-$(RM) $(OBJ_LOKI_SFMT_MT_UX) + @-$(RM) $(OBJ_LOKI_SFMT_MT_UX_DEBUG) + @-$(RM) $(PDB_LOKI_SFMT_MT_UX_DEBUG) + +clean.libs.shwild: + @echo cleaning shwild libraries ... + @-$(RM) $(LIB_SHWILD_MT_UX) + @-$(RM) $(LIB_SHWILD_MT_UX_DEBUG) + @-$(RM) $(OBJ_SHWILD_MT_UX) + @-$(RM) $(OBJ_SHWILD_MT_UX_DEBUG) + @-$(RM) $(PDB_SHWILD_MT_UX_DEBUG) + +clean.libs.xtests: + @echo cleaning xTests libraries ... + @-$(RM) $(LIB_XTESTS_MT_UX) + @-$(RM) $(LIB_XTESTS_MT_UX_DEBUG) + @-$(RM) $(OBJ_XTESTS_MT_UX) + @-$(RM) $(OBJ_XTESTS_MT_UX_DEBUG) + @-$(RM) $(PDB_XTESTS_MT_UX_DEBUG) + +clean.libs: clean.libs.core clean.libs.loki.safefmt clean.libs.shwild clean.libs.xtests + +clean.bitbucket: + @echo cleaning Bitbucket programs ... + @-$(RM) $(TARG_BITBUCKET_MT_UX) + @-$(RM) $(OBJ_BITBUCKET_MT_UX) + @-$(RM) $(TARG_BITBUCKET_MT_UX_DEBUG) + @-$(RM) $(OBJ_BITBUCKET_MT_UX_DEBUG) + +clean.examples: + @echo cleaning Example programs ... + @-$(RM) $(TARG_EX_boolean_MT_UX) + @-$(RM) $(OBJ_EX_boolean_MT_UX) + @-$(RM) $(TARG_EX_character_MT_UX) + @-$(RM) $(OBJ_EX_character_MT_UX) + @-$(RM) $(TARG_EX_reals_MT_UX) + @-$(RM) $(OBJ_EX_reals_MT_UX) + @-$(RM) $(TARG_EX_voidptrs_MT_UX) + @-$(RM) $(OBJ_EX_voidptrs_MT_UX) + @-$(RM) $(TARG_EX_ff_101_MT_UX) + @-$(RM) $(OBJ_EX_ff_101_MT_UX) + @-$(RM) $(TARG_EX_ff_101_nons_MT_UX) + @-$(RM) $(OBJ_EX_ff_101_nons_MT_UX) + @-$(RM) $(TARG_EX_flush_MT_UX) + @-$(RM) $(OBJ_EX_flush_MT_UX) + @-$(RM) $(TARG_EX_ins_hex_MT_UX) + @-$(RM) $(OBJ_EX_ins_hex_MT_UX) + @-$(RM) $(TARG_EX_ins_integers_MT_UX) + @-$(RM) $(OBJ_EX_ins_integers_MT_UX) + @-$(RM) $(TARG_EX_ins_reals_MT_UX) + @-$(RM) $(OBJ_EX_ins_reals_MT_UX) + @-$(RM) $(TARG_EX_itr_fmt_MT_UX) + @-$(RM) $(OBJ_EX_itr_fmt_MT_UX) + @-$(RM) $(TARG_EX_ovld2_filt_MT_UX) + @-$(RM) $(OBJ_EX_ovld2_filt_MT_UX) + @-$(RM) $(TARG_EX_ovld2_ins_MT_UX) + @-$(RM) $(OBJ_EX_ovld2_ins_MT_UX) + @-$(RM) $(TARG_EX_ovld2_sas_MT_UX) + @-$(RM) $(OBJ_EX_ovld2_sas_MT_UX) + @-$(RM) $(TARG_EX_ovld3_fpcol_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_fpcol_MT_UX) + @-$(RM) $(TARG_EX_ovld3_tabs_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_tabs_MT_UX) + @-$(RM) $(TARG_EX_ovld3_svrlg_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_svrlg_MT_UX) + @-$(RM) $(TARG_EX_ovld3_rcins_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_rcins_MT_UX) + @-$(RM) $(TARG_EX_pad_hash_MT_UX) + @-$(RM) $(OBJ_EX_pad_hash_MT_UX) + @-$(RM) $(TARG_EX_sink_cstr_MT_UX) + @-$(RM) $(OBJ_EX_sink_cstr_MT_UX) + @-$(RM) $(TARG_EX_boolean_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_boolean_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_character_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_character_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_reals_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_reals_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_voidptrs_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_voidptrs_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ff_101_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ff_101_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ff_101_nons_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ff_101_nons_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_flush_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_flush_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ins_hex_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ins_hex_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ins_integers_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ins_integers_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ins_reals_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ins_reals_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld2_filt_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld2_filt_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld2_ins_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld2_ins_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld2_sas_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld2_sas_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_fpcol_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_tabs_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_svrlg_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_rcins_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_pad_hash_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_pad_hash_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_sink_cstr_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_sink_cstr_MT_UX_DEBUG) + +clean.samples: + @echo cleaning Sample programs ... + +clean.test.component: + @echo cleaning Test::Component files ... + @-$(RM) $(TARG_TEST_COMP_file_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_file_MT_UX) + @-$(RM) $(TARG_TEST_COMP_fmt_sink_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_fmt_sink_MT_UX) + @-$(RM) $(TARG_TEST_COMP_ins_hex_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_ins_hex_MT_UX) + @-$(RM) $(TARG_TEST_COMP_ins_int_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_ins_int_MT_UX) + @-$(RM) $(TARG_TEST_COMP_ins_real_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_ins_real_MT_UX) + @-$(RM) $(TARG_TEST_COMP_itr_fmt_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_itr_fmt_MT_UX) + @-$(RM) $(TARG_TEST_COMP_null_sink_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_null_sink_MT_UX) + @-$(RM) $(TARG_TEST_COMP_snk_a_b_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_snk_a_b_MT_UX) + @-$(RM) $(TARG_TEST_COMP_write_sink_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_write_sink_MT_UX) + @-$(RM) $(TARG_TEST_COMP_x_1_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_x_1_MT_UX) + @-$(RM) $(TARG_TEST_COMP_snk_ostm_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_snk_ostm_MT_UX) + @-$(RM) $(TARG_TEST_COMP_file_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_file_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_ins_int_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_ins_real_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_null_sink_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_write_sink_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_x_1_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG) + +clean.test.performance: + @echo cleaning Test::Performance files ... + @-$(RM) $(TARG_TEST_PERF_scen1_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen1_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen2_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen2_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen3_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen3_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen4_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen4_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen5_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen5_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen6_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen6_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen7_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen7_MT_UX) + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-$(RM) $(TARG_TEST_PERF_scen1_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen2_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen3_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen4_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen5_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen6_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen7_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG) + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + +clean.test.scratch: + @echo cleaning Test::Scratch files ... + @-$(RM) $(TARG_TEST_SCRT_file_MT_UX) + @-$(RM) $(OBJ_TEST_SCRT_file_MT_UX) + @-$(RM) $(TARG_TEST_SCRT_vecfile_MT_UX) + @-$(RM) $(OBJ_TEST_SCRT_vecfile_MT_UX) + @-$(RM) $(TARG_TEST_SCRT_file_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_SCRT_file_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG) + +clean.test.unit: + @echo cleaning Test::Unit files ... + @-$(RM) $(TARG_TEST_UNIT_api_acsllen_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_crlf_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_crlf_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_flrpl_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_init_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_init_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_ins_ch_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_ins_ch_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_ins_i_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_ins_i_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_shim_fi_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_shim_fi_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_a_b_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_c_str_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_null_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_null_MT_UX) +# @-$(RM) $(TARG_TEST_UNIT_snk_vecfile_MT_UX) +# @-$(RM) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_ostm_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_sstm_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_init_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG) +# @-$(RM) $(TARG_TEST_UNIT_snk_vecfile_MT_UX_DEBUG) +# @-$(RM) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG) + +clean.test: clean.test.unit clean.test.component clean.test.scratch clean.test.performance + +clean: clean.libs clean.bitbucket clean.examples clean.samples clean.test + +clean.all: clean + + +############################################################################ +# build targets + +################################################## +# Libraries + +######################################## +# Main library - 'fastformat.X.core.a' + +$(LIB_CORE_MT_UX): $(OBJ_CORE_MT_UX) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_UX) + +$(OBJ_cr_api_MT_UX): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_UX): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_UX): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_UX): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_UX): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_UX): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_UX): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_UX_DEBUG): $(OBJ_CORE_MT_UX_DEBUG) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_UX_DEBUG) + +$(OBJ_cr_api_MT_UX_DEBUG): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_UX_DEBUG): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_UX_DEBUG): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_UX_DEBUG): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_UX_DEBUG): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_UX_DEBUG): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_UX_DEBUG): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +######################################## +# Loki.SafeFormat library - 'loki.safefmt.X.core.a' + +######################################## +# shwild library - 'shwild.X.core.a' + +$(LIB_SHWILD_MT_UX): $(OBJ_SHWILD_MT_UX) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_UX) + +$(OBJ_shw_api_MT_UX): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_SHWILD_INCLUDES_MT_UX) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_UX): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_SHWILD_INCLUDES_MT_UX) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_UX): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_SHWILD_INCLUDES_MT_UX) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_UX_DEBUG): $(OBJ_SHWILD_MT_UX_DEBUG) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_UX_DEBUG) + +$(OBJ_shw_api_MT_UX_DEBUG): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_SHWILD_INCLUDES_MT_UX_DEBUG) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_UX_DEBUG): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_SHWILD_INCLUDES_MT_UX_DEBUG) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_UX_DEBUG): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_SHWILD_INCLUDES_MT_UX_DEBUG) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +######################################## +# xTests library - 'xtests.X.ff.core.a' + +$(LIB_XTESTS_MT_UX): $(OBJ_XTESTS_MT_UX) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_UX) + +$(OBJ_xts_core_MT_UX): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_XTESTS_INCLUDES_MT_UX) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_UX_DEBUG): $(OBJ_XTESTS_MT_UX_DEBUG) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_UX_DEBUG) + +$(OBJ_xts_core_MT_UX_DEBUG): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_XTESTS_INCLUDES_MT_UX_DEBUG) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +################################################## +# Examples: BitBucket + +$(BITBUCKET_SRC_DIR)\bitbucket.cpp: #$(PROJ_MAKEFILE_NAME) + @-if not exist $(BITBUCKET_SRC_DIR)\NUL mkdir $(BITBUCKET_SRC_DIR) + echo #include "stdio.h" > $@ + echo int main(int, char**) { int ch; for(; EOF != (ch = getchar());) { ; } return 0; } >> $@ + +$(TARG_BITBUCKET_MT_UX): $(OBJ_BITBUCKET_MT_UX) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_BITBUCKET_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_BITBUCKET_MT_UX): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_UX_DEBUG): $(OBJ_BITBUCKET_MT_UX_DEBUG) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_BITBUCKET_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_BITBUCKET_MT_UX_DEBUG): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + + +################################################## +# Examples + +$(TARG_EX_boolean_MT_UX): \ + $(OBJ_EX_boolean_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_boolean_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_boolean_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_UX_DEBUG): \ + $(OBJ_EX_boolean_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_boolean_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_boolean_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_character_MT_UX): \ + $(OBJ_EX_character_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_character_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_character_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_UX_DEBUG): \ + $(OBJ_EX_character_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_character_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_character_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_reals_MT_UX): \ + $(OBJ_EX_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_reals_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_UX_DEBUG): \ + $(OBJ_EX_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_reals_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_voidptrs_MT_UX): \ + $(OBJ_EX_voidptrs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_voidptrs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_voidptrs_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_UX_DEBUG): \ + $(OBJ_EX_voidptrs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_voidptrs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_voidptrs_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_ff_101_MT_UX): \ + $(OBJ_EX_ff_101_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ff_101_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ff_101_MT_UX): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_MT_UX_DEBUG): \ + $(OBJ_EX_ff_101_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ff_101_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ff_101_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_nons_MT_UX): \ + $(OBJ_EX_ff_101_nons_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ff_101_nons_MT_UX): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_MT_UX_DEBUG): \ + $(OBJ_EX_ff_101_nons_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ff_101_nons_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_flush_MT_UX): \ + $(OBJ_EX_flush_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_flush_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_flush_MT_UX): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_MT_UX_DEBUG): \ + $(OBJ_EX_flush_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_flush_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_flush_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_ins_hex_MT_UX): \ + $(OBJ_EX_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ins_hex_MT_UX): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_MT_UX_DEBUG): \ + $(OBJ_EX_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ins_hex_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_integers_MT_UX): \ + $(OBJ_EX_ins_integers_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ins_integers_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ins_integers_MT_UX): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_MT_UX_DEBUG): \ + $(OBJ_EX_ins_integers_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ins_integers_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ins_integers_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_reals_MT_UX): \ + $(OBJ_EX_ins_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ins_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ins_reals_MT_UX): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_MT_UX_DEBUG): \ + $(OBJ_EX_ins_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ins_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ins_reals_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + + + +$(TARG_EX_itr_fmt_MT_UX): \ + $(OBJ_EX_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_itr_fmt_MT_UX): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_UX_DEBUG): \ + $(OBJ_EX_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_itr_fmt_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_ovld2_filt_MT_UX): \ + $(OBJ_EX_ovld2_filt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld2_filt_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_MT_UX_DEBUG): \ + $(OBJ_EX_ovld2_filt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld2_filt_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + + +$(TARG_EX_ovld2_ins_MT_UX): \ + $(OBJ_EX_ovld2_ins_MT_UX)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld2_ins_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_MT_UX_DEBUG): \ + $(OBJ_EX_ovld2_ins_MT_UX_DEBUG)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld2_ins_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_sas_MT_UX): \ + $(OBJ_EX_ovld2_sas_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld2_sas_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_MT_UX_DEBUG): \ + $(OBJ_EX_ovld2_sas_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld2_sas_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld3_fpcol_MT_UX): \ + $(OBJ_EX_ovld3_fpcol_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_fpcol_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_tabs_MT_UX): \ + $(OBJ_EX_ovld3_tabs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_tabs_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_tabs_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_svrlg_MT_UX): \ + $(OBJ_EX_ovld3_svrlg_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_svrlg_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_rcins_MT_UX): \ + $(OBJ_EX_ovld3_rcins_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_rcins_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_rcins_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_pad_hash_MT_UX): \ + $(OBJ_EX_pad_hash_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_pad_hash_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_pad_hash_MT_UX): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_MT_UX_DEBUG): \ + $(OBJ_EX_pad_hash_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_pad_hash_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_pad_hash_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_sink_cstr_MT_UX): \ + $(OBJ_EX_sink_cstr_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_sink_cstr_MT_UX): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_MT_UX_DEBUG): \ + $(OBJ_EX_sink_cstr_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_sink_cstr_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +################################################## +# Tests + +############################## +# Component Tests + +$(TARG_TEST_COMP_snk_a_b_MT_UX): \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_snk_a_b_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_file_MT_UX): \ + $(OBJ_TEST_COMP_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_file_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_file_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_UX): \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_fmt_sink_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_write_sink_MT_UX): \ + $(OBJ_TEST_COMP_write_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_write_sink_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_x_1_MT_UX): \ + $(OBJ_TEST_COMP_x_1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_x_1_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_x_1_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_null_sink_MT_UX): \ + $(OBJ_TEST_COMP_null_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_null_sink_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_ins_hex_MT_UX): \ + $(OBJ_TEST_COMP_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_ins_hex_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_int_MT_UX): \ + $(OBJ_TEST_COMP_ins_int_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_ins_int_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_real_MT_UX): \ + $(OBJ_TEST_COMP_ins_real_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_ins_real_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_UX): \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_itr_fmt_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_UX): \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_snk_ostm_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +############################## +# Performance Tests + +$(TARG_TEST_PERF_scen1_MT_UX): \ + $(OBJ_TEST_PERF_scen1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen1_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen1_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen2_MT_UX): \ + $(OBJ_TEST_PERF_scen2_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen2_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen2_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen3_MT_UX): \ + $(OBJ_TEST_PERF_scen3_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen3_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen3_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen4_MT_UX): \ + $(OBJ_TEST_PERF_scen4_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen4_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen4_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen5_MT_UX): \ + $(OBJ_TEST_PERF_scen5_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen5_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen5_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen6_MT_UX): \ + $(OBJ_TEST_PERF_scen6_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen6_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen6_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen7_MT_UX): \ + $(OBJ_TEST_PERF_scen7_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen7_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen7_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +############################## +# Scratch Tests + +$(TARG_TEST_SCRT_file_MT_UX): \ + $(OBJ_TEST_SCRT_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_SCRT_file_MT_UX): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_UX_DEBUG): \ + $(OBJ_TEST_SCRT_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_SCRT_file_MT_UX_DEBUG): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_vecfile_MT_UX): \ + $(OBJ_TEST_SCRT_vecfile_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_SCRT_vecfile_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_SCRT_vecfile_MT_UX): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp + +$(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG): \ + $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp + +############################## +# Unit Tests + + +$(TARG_TEST_UNIT_api_acsllen_MT_UX): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_acsllen_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_UX): \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_crlf_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_UX): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_flrpl_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_init_MT_UX): \ + $(OBJ_TEST_UNIT_api_init_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_init_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_UX): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_UX): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_UX): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_UX): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_UX): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_UX): \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_ins_ch_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_i_MT_UX): \ + $(OBJ_TEST_UNIT_ins_i_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_ins_i_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_UX): \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_shim_fi_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_UX): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_a_b_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_UX): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_c_str_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_UX): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_null_MT_UX): \ + $(OBJ_TEST_UNIT_snk_null_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_null_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +#$(TARG_TEST_UNIT_snk_vecfile_MT_UX): \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX)\ +# $(LIB_CORE_MT_UX)\ +# $(LIB_SHWILD_MT_UX)\ +# $(LIB_XTESTS_MT_UX)\ +# $(PROJ_MAKEFILE_NAME) +# [``[IFDEF(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX),"$@",,$(LIB_CORE_MT_UX)+$(LIB_SHWILD_MT_UX)+$(LIB_XTESTS_MT_UX)+$(TARGET_LIB_MT_UX) +# [``[ELSE(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX) -out:$@ \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX)\ +# $(LIB_CORE_MT_UX)\ +# $(LIB_SHWILD_MT_UX)\ +# $(LIB_XTESTS_MT_UX)\ +# $(TARGET_LIB_MT_UX) +# [``[ENDIF(AR_USES_DMC_SYNTAX)]``] +# +#$(OBJ_TEST_UNIT_snk_vecfile_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) +# $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp +# +#$(TARG_TEST_UNIT_snk_vecfile_MT_UX_DEBUG): \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG)\ +# $(LIB_CORE_MT_UX_DEBUG)\ +# $(LIB_SHWILD_MT_UX_DEBUG)\ +# $(LIB_XTESTS_MT_UX_DEBUG)\ +# $(PROJ_MAKEFILE_NAME) +# [``[IFDEF(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX_DEBUG) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG),"$@",,$(LIB_CORE_MT_UX_DEBUG)+$(LIB_SHWILD_MT_UX_DEBUG)+$(LIB_XTESTS_MT_UX_DEBUG)+$(TARGET_LIB_MT_UX_DEBUG) +# [``[ELSE(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG)\ +# $(LIB_CORE_MT_UX_DEBUG)\ +# $(LIB_SHWILD_MT_UX_DEBUG)\ +# $(LIB_XTESTS_MT_UX_DEBUG)\ +# $(TARGET_LIB_MT_UX_DEBUG) +# [``[ENDIF(AR_USES_DMC_SYNTAX)]``] +# +#$(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) +# $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp +# +$(TARG_TEST_UNIT_snk_ostm_MT_UX): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_ostm_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_UX): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_sstm_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +############################### end of file ################################ diff --git a/build/vc11.x64/makefile b/build/vc11.x64/makefile new file mode 100644 index 0000000..1d168d4 --- /dev/null +++ b/build/vc11.x64/makefile @@ -0,0 +1,12423 @@ +############################################################################ +# File: makefile +# +# Purpose: Makefile for the FastFormat project, for the Visual C++ 11 (x64 64-bit) +# compiler, on Win64 +# +# Created: 22nd December 2007 +# Updated: 25th September 2015 +# +############################################################################ + + +############################################################################ +# Environment check +# + +!ifndef STLSOFT +!error STLSOFT must be defined +!endif # !STLSOFT + +############################################################################ +# Symbols + +######################################## +# Project & compiler version + +COMP_TAG = vc11 +ARCH_TAG = .x64 +OS_TAG = +PROJ_TAG = fastformat +PROJ_VER_MAJOR = 0 +PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) + +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) + +SHWILD_VER_MAJOR = 0 +SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) + +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + +XTESTS_VER_MAJOR = 0 +XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) + +PROJ_MAKEFILE_NAME = makefile + +######################################## +# Testing verbosity + +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY + +######################################## +# Directories + +PROJ_BASE_DIR = ..\.. +PROJ_BIN_DIR = $(PROJ_BASE_DIR)\bin +PROJ_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +PROJ_LIB_DIR = $(PROJ_BASE_DIR)\lib +PROJ_OBJ_DIR = . +PROJ_SRC_DIR = $(PROJ_BASE_DIR)\src +PROJ_EXAMPLES_DIR = $(PROJ_BASE_DIR)\examples +PROJ_SAMPLES_DIR = $(PROJ_BASE_DIR)\samples +PROJ_BUNDLES_DIR = $(PROJ_BASE_DIR)\test\data\bundles +PROJ_TEST_ROOT_DIR = $(PROJ_BASE_DIR)\test +PROJ_TEST_COMPONENT_DIR = $(PROJ_TEST_ROOT_DIR)\component +PROJ_TEST_PERFORMANCE_DIR = $(PROJ_TEST_ROOT_DIR)\performance +PROJ_TEST_SCRATCH_DIR = $(PROJ_TEST_ROOT_DIR)\scratch +PROJ_TEST_UNIT_DIR = $(PROJ_TEST_ROOT_DIR)\unit + +BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket + +LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt + +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR + +######################################## +# tools + +CC = cl +CPPC = cl +AR = lib +LD = link +RM = del /f +CP = copy +RC = rc + + +# Lib + +LIBS_LEADER = + +# lib paths + +ENV_LIBPATHS = +COMMON_LIBPATHS = + + +LIBPATHS=\ +$(LIBS_LEADER)\ +$(COMMON_LIBPATHS)\ +$(ENV_LIBPATHS)\ +\ + + +# lib names + +TARGET_LIB_MT_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + + +# #defines + +COMMON_DEFS = -DWIN64 + +CUSTOM_DEFS = + +# includes + +COMMON_INCLUDES = + + + +# CPPC flags + +CPPC_F_NOLOGO = -nologo +CPPC_F_COMPILE_ONLY = -c +CPPC_F_WARNING_MAX = -W4 -wd4800 +CPPC_F_WARNING_ERR = -WX +CPPC_F_EXCEPTIONS_ON = -EHsc +CPPC_F_EXCEPTIONS_OFF = -wd4530 +CPPC_F_EXCEPTIONS = $(CPPC_F_EXCEPTIONS_ON) +CPPC_F_RTTI_ON = -GR +CPPC_F_RTTI_OFF = -GR- +CPPC_F_RTTI = $(CPPC_F_RTTI_ON) +CPPC_F_MINIMUM_SIZE = -O1 +CPPC_F_MAXIMUM_SPEED = -O2 -Ox + +CPPC_FLAGS_BASE =\ + $(CPPC_F_NOLOGO)\ + $(CPPC_F_COMPILE_ONLY)\ + $(CPPC_F_WARNING_MAX)\ + $(CPPC_F_WARNING_ERR)\ + $(CPPC_F_EXCEPTIONS)\ + $(CPPC_F_RTTI)\ + \ + +CPPC_FLAGS_MT_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + + +# CPPC defines + +!ifdef FASTFORMAT_NO_NAG +NO_NAG_DEFS = -DFASTFORMAT_NO_VERSION_NAG=1 +!else # ? FASTFORMAT_NO_NAG +NO_NAG_DEFS = +!endif # FASTFORMAT_NO_NAG + +CPPC_DEFS_BASE =\ + $(COMMON_DEFS)\ + $(CUSTOM_DEFS)\ + $(NO_NAG_DEFS)\ + \ + +!ifdef BOOST +DEFINE_BOOST_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_BOOST +!else # BOOST +DEFINE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +DEFINE_LOKI_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_LOKI +!else # LOKI +DEFINE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_DEFS_MT_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + + + +# CPPC includes + +!ifdef STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = -I"$(STLSOFT_1_10)/include" +!else # STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = +!endif # STLSOFT_1_10 + +CPPC_INCLUDES_BASE=\ + \ + -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ + $(STLSOFT_1_10_INCLUDE)\ + -I$(STLSOFT)\include\ + $(COMMON_INCLUDES)\ + \ + +CPPC_CORE_INCLUDES_BASE=\ + -I$(PROJ_SRC_DIR)\ + $(CPPC_INCLUDES_BASE)\ + \ + +!ifdef BOOST +INCLUDE_BOOST_FOR_PERFTEST = -I"$(BOOST)" +!else # BOOST +INCLUDE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +INCLUDE_LOKI_FOR_PERFTEST = -I"$(LOKI)/include" +!else # LOKI +INCLUDE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_INCLUDES_MT_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + + + +CPPC_SHWILD_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + + +CPPC_XTESTS_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + + + +# CPPC args (= flags + defines + includes) + +CPPC_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D) +CPPC_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D_W) +CPPC_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D) +CPPC_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D_W) +CPPC_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R) +CPPC_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R_W) +CPPC_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R) +CPPC_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R_W) + +CPPC_CORE_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) + + + + +# AR Args + +AR_ARGS = -nologo + + +# LD flags + +LD_F_NOLOGO = -nologo + +LD_FLAGS_BASE =\ + $(LD_F_NOLOGO)\ + \ + +LD_FLAGS_MT_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R_W =\ + $(LD_FLAGS_BASE)\ + \ + + +# LD includes + +LD_INCLUDES_BASE =\ + $(LIBPATHS)\ + \ + +LD_INCLUDES_MT_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + + +LD_ARGS = -machine:x64 + +LD_F_NOLOGO = -nologo + +# LD args + +LD_ARGS_MT_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) + +############################################################################ +# Headers + +CORE_HEADERS=\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.h\ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\ff.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\implicit_link.h\ + $(PROJ_INCLUDE_DIR)\fastformat\init_codes.h\ + $(PROJ_INCLUDE_DIR)\fastformat\exceptions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\openrj_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\properties_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\winini_bundle.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\format\standard_flags.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_missing_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\mismatched_arguments_scope_base.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\integer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\real.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_e.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_f.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_g.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_i.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\internal\format_element.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\stlsoft.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\string_encoding.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\threading.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\initialiser.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\api_functions.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\helper_functions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\quality\contract.h\ + $(PROJ_INCLUDE_DIR)\fastformat\quality\cover.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\shims\action\fmt_slices\generic_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\fast_integers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\reals.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\void_pointers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ACE_CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\auto_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CComBSTR.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\char_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\c_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\FILE.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\null.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ostream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\OutputDebugString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\shim_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\speech.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\stringstream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\string_array.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\vectored_file.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.first_include.h\ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.last_include.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\util\memory\auto_buffer_selector.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\sinks\helpers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\string\snprintf.h\ + \ + +SHWILD_HEADERS=\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)\xcover\xcover.h\ + $(PROJ_INCLUDE_DIR)\xcover\internal\reporter.h\ + \ + +XTESTS_HEADERS=\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ + \ + +############################################################################ +# Names + +############################################################ +# Targets + +######################################## +# Libraries + +# fastformat +LIB_CORE_MT_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_CORE_MT_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_CORE_DLL_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_CORE_DLL_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_CORE_DLL_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.lib +LIB_CORE_DLL_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_CORE_MT_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.lib +LIB_CORE_MT_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# Loki.SafeFormat - performance testing only +!ifdef LOKI +LIB_LOKI_SFMT_MT_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_LOKI_SFMT_MT_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_LOKI_SFMT_DLL_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_LOKI_SFMT_DLL_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_LOKI_SFMT_DLL_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_LOKI_SFMT_DLL_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_LOKI_SFMT_MT_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_LOKI_SFMT_MT_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib +!else # LOKI +LIB_LOKI_SFMT_MT_D = +LIB_LOKI_SFMT_MT_D_W = +LIB_LOKI_SFMT_DLL_D = +LIB_LOKI_SFMT_DLL_D_W = +LIB_LOKI_SFMT_DLL_R = +LIB_LOKI_SFMT_DLL_R_W = +LIB_LOKI_SFMT_MT_R = +LIB_LOKI_SFMT_MT_R_W = +!endif # LOKI + +# shwild - testing only +LIB_SHWILD_MT_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_SHWILD_MT_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_SHWILD_DLL_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_SHWILD_DLL_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_SHWILD_DLL_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_SHWILD_DLL_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_SHWILD_MT_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_SHWILD_MT_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# xtests - unit/component testing only +LIB_XTESTS_MT_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_XTESTS_MT_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_XTESTS_DLL_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_XTESTS_DLL_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_XTESTS_DLL_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_XTESTS_DLL_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_XTESTS_MT_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_XTESTS_MT_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +######################################## +# Examples + +TARG_BITBUCKET_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_BITBUCKET_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_BITBUCKET_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_BITBUCKET_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_BITBUCKET_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.exe +TARG_BITBUCKET_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_BITBUCKET_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.exe +TARG_BITBUCKET_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +TARG_EX_boolean_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_character_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_voidptrs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_nons_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_flush_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_integers_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_WLIs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_filt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_ins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_sas_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_fpcol_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_tabs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_svrlg_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_rcins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_pad_hash_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_cstr_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_ODS_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.debug.exe + +TARG_EX_boolean_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_character_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_reals_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_voidptrs_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_sink_ODS_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe + +TARG_EX_boolean_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_character_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_voidptrs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_nons_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_flush_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_integers_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_WLIs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_filt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_ins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_sas_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_fpcol_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_tabs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_svrlg_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_rcins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_pad_hash_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_cstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_ODS_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.debug.exe + +TARG_EX_boolean_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_character_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_reals_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_voidptrs_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_sink_ODS_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe + +TARG_EX_boolean_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_character_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_voidptrs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_nons_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_flush_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_integers_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_WLIs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_filt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_ins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_sas_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_fpcol_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_tabs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_svrlg_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_rcins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_pad_hash_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_cstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_ODS_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.exe + +TARG_EX_boolean_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_character_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_reals_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_voidptrs_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_sink_ODS_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.exe + +TARG_EX_boolean_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_character_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_voidptrs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_nons_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_flush_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_integers_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_WLIs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_filt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_ins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_sas_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_fpcol_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_tabs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_svrlg_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_rcins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_pad_hash_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_cstr_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_ODS_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.exe + +TARG_EX_boolean_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_character_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_reals_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_voidptrs_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_sink_ODS_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.exe + + +######################################## +# Tests + +############################## +# Component Tests + +TARG_TEST_COMP_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_x_1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_x_1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_int_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_real_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_null_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_write_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_x_1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_int_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_real_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_null_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_write_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_x_1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_fmt_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_int_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_real_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_null_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_write_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_x_1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_hex_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_int_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_real_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_null_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_write_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_x_1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Performance Tests + +TARG_TEST_PERF_scen1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen2_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen3_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen4_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen5_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen6_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen7_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen2_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen3_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen4_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen5_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen6_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen7_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen2_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen3_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen4_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen5_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen6_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen7_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen2_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen3_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen4_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen5_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen6_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen7_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen2_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen3_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen4_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen5_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen6_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen7_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen2_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen3_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen4_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen5_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen6_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen7_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!endif # BOOST + +############################## +# Scratch Tests + +TARG_TEST_SCRT_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_speech_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_speech_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_speech_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_speech_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_speech_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_SCRT_speech_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Unit Tests + +TARG_TEST_UNIT_api_acsllen_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_init_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_i_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_null_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_init_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_acsllen_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_crlf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_init_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_ch_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_i_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_shim_fi_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_null_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ods_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_init_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_i_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_null_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################################################################ +# Objects + +######################################## +# Libraries + +# fastformat + +OBJ_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.obj +OBJ_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.obj +OBJ_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.obj +OBJ_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.obj +OBJ_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.obj +OBJ_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.obj +PDB_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.pdb +PDB_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.pdb +PDB_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.pdb +PDB_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.pdb +PDB_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.pdb +PDB_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.pdb + +OBJ_CORE_MT_D = \ + $(OBJ_cr_api_MT_D)\ + $(OBJ_cr_fsdhndlrs_MT_D)\ + $(OBJ_cr_fmtcache_MT_D)\ + $(OBJ_cr_initstr_MT_D)\ + $(OBJ_cr_mempool_MT_D)\ + $(OBJ_cr_replacements_MT_D)\ + $(OBJ_cr_snprintf_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_cr_api_MT_D)\ + $(PDB_cr_fsdhndlrs_MT_D)\ + $(PDB_cr_fmtcache_MT_D)\ + $(PDB_cr_initstr_MT_D)\ + $(PDB_cr_mempool_MT_D)\ + $(PDB_cr_replacements_MT_D)\ + $(PDB_cr_snprintf_MT_D)\ + \ + +OBJ_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.obj +OBJ_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.obj +OBJ_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.obj +OBJ_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.obj +OBJ_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.obj +OBJ_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.obj +PDB_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.pdb +PDB_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.pdb +PDB_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.pdb +PDB_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.pdb +PDB_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.pdb +PDB_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.pdb + +OBJ_CORE_MT_D_W = \ + $(OBJ_cr_api_MT_D_W)\ + $(OBJ_cr_fsdhndlrs_MT_D_W)\ + $(OBJ_cr_fmtcache_MT_D_W)\ + $(OBJ_cr_initstr_MT_D_W)\ + $(OBJ_cr_mempool_MT_D_W)\ + $(OBJ_cr_replacements_MT_D_W)\ + $(OBJ_cr_snprintf_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_cr_api_MT_D_W)\ + $(PDB_cr_fsdhndlrs_MT_D_W)\ + $(PDB_cr_fmtcache_MT_D_W)\ + $(PDB_cr_initstr_MT_D_W)\ + $(PDB_cr_mempool_MT_D_W)\ + $(PDB_cr_replacements_MT_D_W)\ + $(PDB_cr_snprintf_MT_D_W)\ + \ + +OBJ_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.obj +OBJ_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.obj +OBJ_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.obj +OBJ_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.obj +OBJ_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.obj +OBJ_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.obj +PDB_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.pdb +PDB_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.pdb +PDB_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.pdb +PDB_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.pdb +PDB_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.pdb +PDB_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.pdb + +OBJ_CORE_DLL_D = \ + $(OBJ_cr_api_DLL_D)\ + $(OBJ_cr_fsdhndlrs_DLL_D)\ + $(OBJ_cr_fmtcache_DLL_D)\ + $(OBJ_cr_initstr_DLL_D)\ + $(OBJ_cr_mempool_DLL_D)\ + $(OBJ_cr_replacements_DLL_D)\ + $(OBJ_cr_snprintf_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_cr_api_DLL_D)\ + $(PDB_cr_fsdhndlrs_DLL_D)\ + $(PDB_cr_fmtcache_DLL_D)\ + $(PDB_cr_initstr_DLL_D)\ + $(PDB_cr_mempool_DLL_D)\ + $(PDB_cr_replacements_DLL_D)\ + $(PDB_cr_snprintf_DLL_D)\ + \ + +OBJ_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.obj +OBJ_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.obj +OBJ_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.obj +OBJ_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.obj +OBJ_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.obj +OBJ_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.obj +PDB_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.pdb +PDB_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.pdb +PDB_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.pdb +PDB_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.pdb +PDB_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.pdb +PDB_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.pdb + +OBJ_CORE_DLL_D_W = \ + $(OBJ_cr_api_DLL_D_W)\ + $(OBJ_cr_fsdhndlrs_DLL_D_W)\ + $(OBJ_cr_fmtcache_DLL_D_W)\ + $(OBJ_cr_initstr_DLL_D_W)\ + $(OBJ_cr_mempool_DLL_D_W)\ + $(OBJ_cr_replacements_DLL_D_W)\ + $(OBJ_cr_snprintf_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_cr_api_DLL_D_W)\ + $(PDB_cr_fsdhndlrs_DLL_D_W)\ + $(PDB_cr_fmtcache_DLL_D_W)\ + $(PDB_cr_initstr_DLL_D_W)\ + $(PDB_cr_mempool_DLL_D_W)\ + $(PDB_cr_replacements_DLL_D_W)\ + $(PDB_cr_snprintf_DLL_D_W)\ + \ + +OBJ_cr_api_DLL_R = $(PROJ_OBJ_DIR)\core.api.dll.obj +OBJ_cr_fsdhndlrs_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.obj +OBJ_cr_fmtcache_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.obj +OBJ_cr_initstr_DLL_R = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.obj +OBJ_cr_mempool_DLL_R = $(PROJ_OBJ_DIR)\core.mempool.dll.obj +OBJ_cr_replacements_DLL_R = $(PROJ_OBJ_DIR)\core.replacements.dll.obj +OBJ_cr_snprintf_DLL_R = $(PROJ_OBJ_DIR)\core.snprintf.dll.obj + +OBJ_CORE_DLL_R = \ + $(OBJ_cr_api_DLL_R)\ + $(OBJ_cr_fsdhndlrs_DLL_R)\ + $(OBJ_cr_fmtcache_DLL_R)\ + $(OBJ_cr_initstr_DLL_R)\ + $(OBJ_cr_mempool_DLL_R)\ + $(OBJ_cr_replacements_DLL_R)\ + $(OBJ_cr_snprintf_DLL_R)\ + \ + +OBJ_cr_api_DLL_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.obj +OBJ_cr_fsdhndlrs_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.obj +OBJ_cr_fmtcache_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.obj +OBJ_cr_initstr_DLL_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.obj +OBJ_cr_mempool_DLL_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.obj +OBJ_cr_replacements_DLL_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.obj +OBJ_cr_snprintf_DLL_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.obj + +OBJ_CORE_DLL_R_W = \ + $(OBJ_cr_api_DLL_R_W)\ + $(OBJ_cr_fsdhndlrs_DLL_R_W)\ + $(OBJ_cr_fmtcache_DLL_R_W)\ + $(OBJ_cr_initstr_DLL_R_W)\ + $(OBJ_cr_mempool_DLL_R_W)\ + $(OBJ_cr_replacements_DLL_R_W)\ + $(OBJ_cr_snprintf_DLL_R_W)\ + \ + +OBJ_cr_api_MT_R = $(PROJ_OBJ_DIR)\core.api.mt.obj +OBJ_cr_fsdhndlrs_MT_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.obj +OBJ_cr_fmtcache_MT_R = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.obj +OBJ_cr_initstr_MT_R = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.obj +OBJ_cr_mempool_MT_R = $(PROJ_OBJ_DIR)\core.mempool.mt.obj +OBJ_cr_replacements_MT_R = $(PROJ_OBJ_DIR)\core.replacements.mt.obj +OBJ_cr_snprintf_MT_R = $(PROJ_OBJ_DIR)\core.snprintf.mt.obj + +OBJ_CORE_MT_R = \ + $(OBJ_cr_api_MT_R)\ + $(OBJ_cr_fsdhndlrs_MT_R)\ + $(OBJ_cr_fmtcache_MT_R)\ + $(OBJ_cr_initstr_MT_R)\ + $(OBJ_cr_mempool_MT_R)\ + $(OBJ_cr_replacements_MT_R)\ + $(OBJ_cr_snprintf_MT_R)\ + \ + +OBJ_cr_api_MT_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.obj +OBJ_cr_fsdhndlrs_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.obj +OBJ_cr_fmtcache_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.obj +OBJ_cr_initstr_MT_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.obj +OBJ_cr_mempool_MT_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.obj +OBJ_cr_replacements_MT_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.obj +OBJ_cr_snprintf_MT_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.obj + +OBJ_CORE_MT_R_W = \ + $(OBJ_cr_api_MT_R_W)\ + $(OBJ_cr_fsdhndlrs_MT_R_W)\ + $(OBJ_cr_fmtcache_MT_R_W)\ + $(OBJ_cr_initstr_MT_R_W)\ + $(OBJ_cr_mempool_MT_R_W)\ + $(OBJ_cr_replacements_MT_R_W)\ + $(OBJ_cr_snprintf_MT_R_W)\ + \ + + +# Loki.SafeFormat + +!ifdef LOKI +OBJ_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.obj +PDB_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D = \ + $(OBJ_lok_sfmt_MT_D)\ + \ + +PDB_LOKI_SFMT_MT_D = \ + $(PDB_lok_sfmt_MT_D)\ + \ + +OBJ_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.obj +PDB_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D_W = \ + $(OBJ_lok_sfmt_MT_D_W)\ + \ + +PDB_LOKI_SFMT_MT_D_W = \ + $(PDB_lok_sfmt_MT_D_W)\ + \ + +OBJ_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.obj +PDB_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D = \ + $(OBJ_lok_sfmt_DLL_D)\ + \ + +PDB_LOKI_SFMT_DLL_D = \ + $(PDB_lok_sfmt_DLL_D)\ + \ + +OBJ_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.obj +PDB_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D_W = \ + $(OBJ_lok_sfmt_DLL_D_W)\ + \ + +PDB_LOKI_SFMT_DLL_D_W = \ + $(PDB_lok_sfmt_DLL_D_W)\ + \ + +OBJ_lok_sfmt_DLL_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.obj + +OBJ_LOKI_SFMT_DLL_R = \ + $(OBJ_lok_sfmt_DLL_R)\ + \ + +OBJ_lok_sfmt_DLL_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.obj + +OBJ_LOKI_SFMT_DLL_R_W = \ + $(OBJ_lok_sfmt_DLL_R_W)\ + \ + +OBJ_lok_sfmt_MT_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.obj + +OBJ_LOKI_SFMT_MT_R = \ + $(OBJ_lok_sfmt_MT_R)\ + \ + +OBJ_lok_sfmt_MT_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.obj + +OBJ_LOKI_SFMT_MT_R_W = \ + $(OBJ_lok_sfmt_MT_R_W)\ + \ + +!else # LOKI +OBJ_lok_sfmt_MT_D = +PDB_lok_sfmt_MT_D = +OBJ_LOKI_SFMT_MT_D_PM = +PDB_LOKI_SFMT_MT_D_PM = +OBJ_lok_sfmt_MT_D_W = +PDB_lok_sfmt_MT_D_W = +OBJ_LOKI_SFMT_MT_D_W_PM = +PDB_LOKI_SFMT_MT_D_W_PM = +OBJ_lok_sfmt_DLL_D = +PDB_lok_sfmt_DLL_D = +OBJ_LOKI_SFMT_DLL_D_PM = +PDB_LOKI_SFMT_DLL_D_PM = +OBJ_lok_sfmt_DLL_D_W = +PDB_lok_sfmt_DLL_D_W = +OBJ_LOKI_SFMT_DLL_D_W_PM = +PDB_LOKI_SFMT_DLL_D_W_PM = +OBJ_lok_sfmt_DLL_R = +PDB_lok_sfmt_DLL_R = +OBJ_LOKI_SFMT_DLL_R_PM = +PDB_LOKI_SFMT_DLL_R_PM = +OBJ_lok_sfmt_DLL_R_W = +PDB_lok_sfmt_DLL_R_W = +OBJ_LOKI_SFMT_DLL_R_W_PM = +PDB_LOKI_SFMT_DLL_R_W_PM = +OBJ_lok_sfmt_MT_R = +PDB_lok_sfmt_MT_R = +OBJ_LOKI_SFMT_MT_R_PM = +PDB_LOKI_SFMT_MT_R_PM = +OBJ_lok_sfmt_MT_R_W = +PDB_lok_sfmt_MT_R_W = +OBJ_LOKI_SFMT_MT_R_W_PM = +PDB_LOKI_SFMT_MT_R_W_PM = +!endif # LOKI + +# shwild + +OBJ_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.obj +OBJ_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.obj +OBJ_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.obj +PDB_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.pdb +PDB_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.pdb +PDB_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.pdb + +OBJ_SHWILD_MT_D = \ + $(OBJ_shw_api_MT_D)\ + $(OBJ_shw_matches_MT_D)\ + $(OBJ_shw_pattern_MT_D)\ + \ + +PDB_SHWILD_MT_D = \ + $(PDB_shw_api_MT_D)\ + $(PDB_shw_matches_MT_D)\ + $(PDB_shw_pattern_MT_D)\ + \ + +OBJ_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.obj +OBJ_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.obj +OBJ_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.obj +PDB_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.pdb +PDB_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.pdb +PDB_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.pdb + +OBJ_SHWILD_MT_D_W = \ + $(OBJ_shw_api_MT_D_W)\ + $(OBJ_shw_matches_MT_D_W)\ + $(OBJ_shw_pattern_MT_D_W)\ + \ + +PDB_SHWILD_MT_D_W = \ + $(PDB_shw_api_MT_D_W)\ + $(PDB_shw_matches_MT_D_W)\ + $(PDB_shw_pattern_MT_D_W)\ + \ + +OBJ_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.obj +OBJ_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.obj +OBJ_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.obj +PDB_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.pdb +PDB_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.pdb +PDB_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.pdb + +OBJ_SHWILD_DLL_D = \ + $(OBJ_shw_api_DLL_D)\ + $(OBJ_shw_matches_DLL_D)\ + $(OBJ_shw_pattern_DLL_D)\ + \ + +PDB_SHWILD_DLL_D = \ + $(PDB_shw_api_DLL_D)\ + $(PDB_shw_matches_DLL_D)\ + $(PDB_shw_pattern_DLL_D)\ + \ + +OBJ_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.obj +OBJ_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.obj +OBJ_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.obj +PDB_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.pdb +PDB_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.pdb +PDB_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.pdb + +OBJ_SHWILD_DLL_D_W = \ + $(OBJ_shw_api_DLL_D_W)\ + $(OBJ_shw_matches_DLL_D_W)\ + $(OBJ_shw_pattern_DLL_D_W)\ + \ + +PDB_SHWILD_DLL_D_W = \ + $(PDB_shw_api_DLL_D_W)\ + $(PDB_shw_matches_DLL_D_W)\ + $(PDB_shw_pattern_DLL_D_W)\ + \ + +OBJ_shw_api_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.obj +OBJ_shw_matches_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.obj +OBJ_shw_pattern_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.obj + +OBJ_SHWILD_DLL_R = \ + $(OBJ_shw_api_DLL_R)\ + $(OBJ_shw_matches_DLL_R)\ + $(OBJ_shw_pattern_DLL_R)\ + \ + +OBJ_shw_api_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.obj +OBJ_shw_matches_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.obj +OBJ_shw_pattern_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.obj + +OBJ_SHWILD_DLL_R_W = \ + $(OBJ_shw_api_DLL_R_W)\ + $(OBJ_shw_matches_DLL_R_W)\ + $(OBJ_shw_pattern_DLL_R_W)\ + \ + +OBJ_shw_api_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.obj +OBJ_shw_matches_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.obj +OBJ_shw_pattern_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.obj + +OBJ_SHWILD_MT_R = \ + $(OBJ_shw_api_MT_R)\ + $(OBJ_shw_matches_MT_R)\ + $(OBJ_shw_pattern_MT_R)\ + \ + +OBJ_shw_api_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.obj +OBJ_shw_matches_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.obj +OBJ_shw_pattern_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.obj + +OBJ_SHWILD_MT_R_W = \ + $(OBJ_shw_api_MT_R_W)\ + $(OBJ_shw_matches_MT_R_W)\ + $(OBJ_shw_pattern_MT_R_W)\ + \ + + +# xtests + +OBJ_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.obj +PDB_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.pdb + +OBJ_XTESTS_MT_D = \ + $(OBJ_xts_core_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_xts_core_MT_D)\ + \ + +OBJ_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.obj +PDB_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.pdb + +OBJ_XTESTS_MT_D_W = \ + $(OBJ_xts_core_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_xts_core_MT_D_W)\ + \ + +OBJ_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.obj +PDB_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.pdb + +OBJ_XTESTS_DLL_D = \ + $(OBJ_xts_core_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_xts_core_DLL_D)\ + \ + +OBJ_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.obj +PDB_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.pdb + +OBJ_XTESTS_DLL_D_W = \ + $(OBJ_xts_core_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_xts_core_DLL_D_W)\ + \ + +OBJ_xts_core_DLL_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.obj + +OBJ_XTESTS_DLL_R = \ + $(OBJ_xts_core_DLL_R)\ + \ + +OBJ_xts_core_DLL_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.obj + +OBJ_XTESTS_DLL_R_W = \ + $(OBJ_xts_core_DLL_R_W)\ + \ + +OBJ_xts_core_MT_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.obj + +OBJ_XTESTS_MT_R = \ + $(OBJ_xts_core_MT_R)\ + \ + +OBJ_xts_core_MT_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.obj + +OBJ_XTESTS_MT_R_W = \ + $(OBJ_xts_core_MT_R_W)\ + \ + + +######################################## +# Examples + +OBJ_BITBUCKET_MT_D = $(PROJ_OBJ_DIR)\bitbucket.mt.debug.obj +OBJ_BITBUCKET_MT_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.debug.obj +OBJ_BITBUCKET_DLL_D = $(PROJ_OBJ_DIR)\bitbucket.dll.debug.obj +OBJ_BITBUCKET_DLL_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.debug.obj +OBJ_BITBUCKET_DLL_R = $(PROJ_OBJ_DIR)\bitbucket.dll.obj +OBJ_BITBUCKET_DLL_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.obj +OBJ_BITBUCKET_MT_R = $(PROJ_OBJ_DIR)\bitbucket.mt.obj +OBJ_BITBUCKET_MT_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.obj + +OBJ_EX_boolean_MT_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.debug.obj +OBJ_EX_character_MT_D = $(PROJ_OBJ_DIR)\example.arguments.character.mt.debug.obj +OBJ_EX_reals_MT_D = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.debug.obj +OBJ_EX_voidptrs_MT_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.debug.obj +OBJ_EX_ff_101_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.mt.debug.obj +OBJ_EX_ff_101_nons_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.debug.obj +OBJ_EX_flush_MT_D = $(PROJ_OBJ_DIR)\example.flush.mt.debug.obj +OBJ_EX_ins_hex_MT_D = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.debug.obj +OBJ_EX_ins_integers_MT_D = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.debug.obj +OBJ_EX_ins_reals_MT_D = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.debug.obj +OBJ_EX_ins_WLIs_MT_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.debug.obj +OBJ_EX_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.debug.obj +OBJ_EX_ovld2_filt_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.debug.obj +OBJ_EX_ovld2_ins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.debug.obj +OBJ_EX_ovld2_sas_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.debug.obj +OBJ_EX_ovld3_fpcol_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.debug.obj +OBJ_EX_ovld3_tabs_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.debug.obj +OBJ_EX_ovld3_svrlg_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.debug.obj +OBJ_EX_ovld3_rcins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.debug.obj +OBJ_EX_pad_hash_MT_D = $(PROJ_OBJ_DIR)\example.pad.hash.mt.debug.obj +OBJ_EX_sink_cstr_MT_D = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.debug.obj +OBJ_EX_sink_ODS_MT_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.debug.obj + +OBJ_EX_boolean_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.debug.obj +OBJ_EX_character_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.debug.obj +OBJ_EX_reals_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.debug.obj +OBJ_EX_voidptrs_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.debug.obj +OBJ_EX_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_EX_sink_ODS_MT_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.debug.obj + +OBJ_EX_boolean_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.debug.obj +OBJ_EX_character_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.character.dll.debug.obj +OBJ_EX_reals_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.debug.obj +OBJ_EX_voidptrs_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.debug.obj +OBJ_EX_ff_101_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.dll.debug.obj +OBJ_EX_ff_101_nons_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.debug.obj +OBJ_EX_flush_DLL_D = $(PROJ_OBJ_DIR)\example.flush.dll.debug.obj +OBJ_EX_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.debug.obj +OBJ_EX_ins_integers_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.debug.obj +OBJ_EX_ins_reals_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.debug.obj +OBJ_EX_ins_WLIs_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.debug.obj +OBJ_EX_ovld2_filt_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.debug.obj +OBJ_EX_ovld2_ins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.debug.obj +OBJ_EX_ovld2_sas_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.debug.obj +OBJ_EX_ovld3_fpcol_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.debug.obj +OBJ_EX_ovld3_tabs_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.debug.obj +OBJ_EX_ovld3_svrlg_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.debug.obj +OBJ_EX_ovld3_rcins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.debug.obj +OBJ_EX_pad_hash_DLL_D = $(PROJ_OBJ_DIR)\example.pad.hash.dll.debug.obj +OBJ_EX_sink_cstr_DLL_D = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.debug.obj + +OBJ_EX_boolean_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.debug.obj +OBJ_EX_character_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.debug.obj +OBJ_EX_reals_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.debug.obj +OBJ_EX_voidptrs_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.debug.obj + +OBJ_EX_boolean_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.obj +OBJ_EX_character_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.character.dll.obj +OBJ_EX_reals_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.obj +OBJ_EX_voidptrs_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.obj +OBJ_EX_ff_101_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.dll.obj +OBJ_EX_ff_101_nons_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.obj +OBJ_EX_flush_DLL_R = $(PROJ_OBJ_DIR)\example.flush.dll.obj +OBJ_EX_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.obj +OBJ_EX_ins_integers_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.obj +OBJ_EX_ins_reals_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.obj +OBJ_EX_ins_WLIs_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.obj +OBJ_EX_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.obj +OBJ_EX_ovld2_filt_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.obj +OBJ_EX_ovld2_ins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.obj +OBJ_EX_ovld2_sas_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.obj +OBJ_EX_ovld3_fpcol_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.obj +OBJ_EX_ovld3_tabs_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.obj +OBJ_EX_ovld3_svrlg_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.obj +OBJ_EX_ovld3_rcins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.obj +OBJ_EX_pad_hash_DLL_R = $(PROJ_OBJ_DIR)\example.pad.hash.dll.obj +OBJ_EX_sink_cstr_DLL_R = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.obj +OBJ_EX_sink_ODS_DLL_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.obj + +OBJ_EX_boolean_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.obj +OBJ_EX_character_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.obj +OBJ_EX_reals_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.obj +OBJ_EX_voidptrs_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.obj +OBJ_EX_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.obj +OBJ_EX_sink_ODS_DLL_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.obj + +OBJ_EX_boolean_MT_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.obj +OBJ_EX_character_MT_R = $(PROJ_OBJ_DIR)\example.arguments.character.mt.obj +OBJ_EX_reals_MT_R = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.obj +OBJ_EX_voidptrs_MT_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.obj +OBJ_EX_ff_101_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.mt.obj +OBJ_EX_ff_101_nons_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.obj +OBJ_EX_flush_MT_R = $(PROJ_OBJ_DIR)\example.flush.mt.obj +OBJ_EX_ins_hex_MT_R = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.obj +OBJ_EX_ins_integers_MT_R = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.obj +OBJ_EX_ins_reals_MT_R = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.obj +OBJ_EX_ins_WLIs_MT_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.obj +OBJ_EX_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.obj +OBJ_EX_ovld2_filt_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.obj +OBJ_EX_ovld2_ins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.obj +OBJ_EX_ovld2_sas_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.obj +OBJ_EX_ovld3_fpcol_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.obj +OBJ_EX_ovld3_tabs_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.obj +OBJ_EX_ovld3_svrlg_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.obj +OBJ_EX_ovld3_rcins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.obj +OBJ_EX_pad_hash_MT_R = $(PROJ_OBJ_DIR)\example.pad.hash.mt.obj +OBJ_EX_sink_cstr_MT_R = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.obj +OBJ_EX_sink_ODS_MT_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.obj + +OBJ_EX_boolean_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.obj +OBJ_EX_character_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.obj +OBJ_EX_reals_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.obj +OBJ_EX_voidptrs_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.obj +OBJ_EX_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.obj +OBJ_EX_sink_ODS_MT_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.obj + + +######################################## +# Tests + +############################## +# Component Tests + +OBJ_TEST_COMP_file_MT_D = $(PROJ_OBJ_DIR)\test.component.FILE.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D = $(PROJ_OBJ_DIR)\test.component.fsde.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.debug.obj +OBJ_TEST_COMP_file_MT_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_COMP_file_DLL_D = $(PROJ_OBJ_DIR)\test.component.FILE.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D = $(PROJ_OBJ_DIR)\test.component.fsde.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.debug.obj +OBJ_TEST_COMP_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_COMP_file_DLL_R = $(PROJ_OBJ_DIR)\test.component.FILE.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.obj +OBJ_TEST_COMP_x_1_DLL_R = $(PROJ_OBJ_DIR)\test.component.fsde.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.obj +OBJ_TEST_COMP_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.obj +OBJ_TEST_COMP_x_1_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.obj +OBJ_TEST_COMP_file_MT_R = $(PROJ_OBJ_DIR)\test.component.FILE.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.obj +OBJ_TEST_COMP_ins_int_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.obj +OBJ_TEST_COMP_ins_real_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.obj +OBJ_TEST_COMP_null_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.obj +OBJ_TEST_COMP_write_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.obj +OBJ_TEST_COMP_x_1_MT_R = $(PROJ_OBJ_DIR)\test.component.fsde.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.obj +OBJ_TEST_COMP_file_MT_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.obj +OBJ_TEST_COMP_ins_int_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.obj +OBJ_TEST_COMP_ins_real_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.obj +OBJ_TEST_COMP_null_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_COMP_write_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.obj +OBJ_TEST_COMP_x_1_MT_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.obj + +############################## +# Performance Tests + +OBJ_TEST_PERF_scen1_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.obj +OBJ_TEST_PERF_scen2_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.obj +OBJ_TEST_PERF_scen3_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.obj +OBJ_TEST_PERF_scen4_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.obj +OBJ_TEST_PERF_scen5_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.obj +OBJ_TEST_PERF_scen6_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.obj +OBJ_TEST_PERF_scen7_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.obj +OBJ_TEST_PERF_scen2_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.obj +OBJ_TEST_PERF_scen3_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.obj +OBJ_TEST_PERF_scen4_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.obj +OBJ_TEST_PERF_scen5_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.obj +OBJ_TEST_PERF_scen6_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.obj +OBJ_TEST_PERF_scen7_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.obj +OBJ_TEST_PERF_scen2_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.obj +OBJ_TEST_PERF_scen3_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.obj +OBJ_TEST_PERF_scen4_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.obj +OBJ_TEST_PERF_scen5_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.obj +OBJ_TEST_PERF_scen6_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.obj +OBJ_TEST_PERF_scen7_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.obj +OBJ_TEST_PERF_scen2_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.obj +OBJ_TEST_PERF_scen3_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.obj +OBJ_TEST_PERF_scen4_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.obj +OBJ_TEST_PERF_scen5_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.obj +OBJ_TEST_PERF_scen6_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.obj +OBJ_TEST_PERF_scen7_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.obj +!endif # BOOST + +############################## +# Scratch Tests + +OBJ_TEST_SCRT_file_MT_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.debug.obj +OBJ_TEST_SCRT_file_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.debug.obj +OBJ_TEST_SCRT_file_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.obj +OBJ_TEST_SCRT_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.obj +OBJ_TEST_SCRT_file_MT_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.obj +OBJ_TEST_SCRT_file_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.obj + +OBJ_TEST_SCRT_speech_MT_D = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.debug.obj +OBJ_TEST_SCRT_speech_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.debug.obj +OBJ_TEST_SCRT_speech_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.obj +OBJ_TEST_SCRT_speech_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.obj +OBJ_TEST_SCRT_speech_MT_R = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.obj +OBJ_TEST_SCRT_speech_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.obj + +############################## +# Unit Tests + +OBJ_TEST_UNIT_api_acsllen_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.obj +OBJ_TEST_UNIT_api_init_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_init_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.obj + +################################################## +# Target groups + +######################################## +# Objects + +# fastformat +OBJS_CORE = \ + $(OBJ_CORE_MT_D)\ + $(OBJ_CORE_MT_D_W)\ + $(OBJ_CORE_DLL_D)\ + $(OBJ_CORE_DLL_D_W)\ + $(OBJ_CORE_DLL_R)\ + $(OBJ_CORE_DLL_R_W)\ + $(OBJ_CORE_MT_R)\ + $(OBJ_CORE_MT_R_W)\ + \ + +# Loki.SafeFormat +OBJS_LOKI_SFMT = \ + $(OBJ_LOKI_SFMT_MT_D)\ + $(OBJ_LOKI_SFMT_MT_D_W)\ + $(OBJ_LOKI_SFMT_DLL_D)\ + $(OBJ_LOKI_SFMT_DLL_D_W)\ + $(OBJ_LOKI_SFMT_DLL_R)\ + $(OBJ_LOKI_SFMT_DLL_R_W)\ + $(OBJ_LOKI_SFMT_MT_R)\ + $(OBJ_LOKI_SFMT_MT_R_W)\ + \ + +# shwild +OBJS_SHWILD = \ + $(OBJ_SHWILD_MT_D)\ + $(OBJ_SHWILD_MT_D_W)\ + $(OBJ_SHWILD_DLL_D)\ + $(OBJ_SHWILD_DLL_D_W)\ + $(OBJ_SHWILD_DLL_R)\ + $(OBJ_SHWILD_DLL_R_W)\ + $(OBJ_SHWILD_MT_R)\ + $(OBJ_SHWILD_MT_R_W)\ + \ + +# xtests +OBJS_XTESTS = \ + $(OBJ_XTESTS_MT_D)\ + $(OBJ_XTESTS_MT_D_W)\ + $(OBJ_XTESTS_DLL_D)\ + $(OBJ_XTESTS_DLL_D_W)\ + $(OBJ_XTESTS_DLL_R)\ + $(OBJ_XTESTS_DLL_R_W)\ + $(OBJ_XTESTS_MT_R)\ + $(OBJ_XTESTS_MT_R_W)\ + \ + +# Examples +OBJS_EXAMPLE=\ + $(OBJ_EX_boolean_MT_D)\ + $(OBJ_EX_character_MT_D)\ + $(OBJ_EX_reals_MT_D)\ + $(OBJ_EX_voidptrs_MT_D)\ + $(OBJ_EX_ff_101_MT_D)\ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(OBJ_EX_flush_MT_D)\ + $(OBJ_EX_ins_hex_MT_D)\ + $(OBJ_EX_ins_integers_MT_D)\ + $(OBJ_EX_ins_reals_MT_D)\ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(OBJ_EX_itr_fmt_MT_D)\ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(OBJ_EX_pad_hash_MT_D)\ + $(OBJ_EX_sink_cstr_MT_D)\ + $(OBJ_EX_sink_ODS_MT_D)\ + $(OBJ_EX_boolean_MT_D_W)\ + $(OBJ_EX_character_MT_D_W)\ + $(OBJ_EX_reals_MT_D_W)\ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(OBJ_EX_boolean_DLL_D)\ + $(OBJ_EX_character_DLL_D)\ + $(OBJ_EX_reals_DLL_D)\ + $(OBJ_EX_voidptrs_DLL_D)\ + $(OBJ_EX_ff_101_DLL_D)\ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(OBJ_EX_flush_DLL_D)\ + $(OBJ_EX_ins_hex_DLL_D)\ + $(OBJ_EX_ins_integers_DLL_D)\ + $(OBJ_EX_ins_reals_DLL_D)\ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(OBJ_EX_pad_hash_DLL_D)\ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(OBJ_EX_boolean_DLL_D_W)\ + $(OBJ_EX_character_DLL_D_W)\ + $(OBJ_EX_reals_DLL_D_W)\ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(OBJ_EX_boolean_DLL_R)\ + $(OBJ_EX_character_DLL_R)\ + $(OBJ_EX_reals_DLL_R)\ + $(OBJ_EX_voidptrs_DLL_R)\ + $(OBJ_EX_ff_101_DLL_R)\ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(OBJ_EX_flush_DLL_R)\ + $(OBJ_EX_ins_hex_DLL_R)\ + $(OBJ_EX_ins_integers_DLL_R)\ + $(OBJ_EX_ins_reals_DLL_R)\ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(OBJ_EX_pad_hash_DLL_R)\ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(OBJ_EX_boolean_DLL_R_W)\ + $(OBJ_EX_character_DLL_R_W)\ + $(OBJ_EX_reals_DLL_R_W)\ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(OBJ_EX_boolean_MT_R)\ + $(OBJ_EX_character_MT_R)\ + $(OBJ_EX_reals_MT_R)\ + $(OBJ_EX_voidptrs_MT_R)\ + $(OBJ_EX_ff_101_MT_R)\ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(OBJ_EX_flush_MT_R)\ + $(OBJ_EX_ins_hex_MT_R)\ + $(OBJ_EX_ins_integers_MT_R)\ + $(OBJ_EX_ins_reals_MT_R)\ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(OBJ_EX_itr_fmt_MT_R)\ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(OBJ_EX_pad_hash_MT_R)\ + $(OBJ_EX_sink_cstr_MT_R)\ + $(OBJ_EX_sink_ODS_MT_R)\ + $(OBJ_EX_boolean_MT_R_W)\ + $(OBJ_EX_character_MT_R_W)\ + $(OBJ_EX_reals_MT_R_W)\ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(OBJ_EX_sink_ODS_MT_R_W)\ + \ + +# Component Tests +OBJS_TEST_COMPONENT=\ + $(OBJ_TEST_COMP_file_MT_D)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_COMP_file_MT_R)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +# Performance Tests +OBJS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +OBJS_TEST_PERFORMANCE_BOOST=\ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(OBJ_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +OBJS_TEST_PERFORMANCE=\ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(OBJS_TEST_PERFORMANCE_BOOST)\ + \ + +# Scratch Tests +OBJS_TEST_SCRATCH=\ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + \ + +# Unit Tests +OBJS_TEST_UNIT=\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +######################################## +# Libraries + +TARGETS_LIB_CORE = \ + $(LIB_CORE_MT_D)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_CORE_DLL_D)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_CORE_DLL_R)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_CORE_MT_R)\ + $(LIB_CORE_MT_R_W)\ + \ + +TARGETS_LIB_LOKI_SFMT = \ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + \ + +TARGETS_LIB_SHWILD = \ + $(LIB_SHWILD_MT_D)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_SHWILD_MT_R_W)\ + \ + +TARGETS_LIB_XTESTS = \ + $(LIB_XTESTS_MT_D)\ + $(LIB_XTESTS_MT_D_W)\ + $(LIB_XTESTS_DLL_D)\ + $(LIB_XTESTS_DLL_D_W)\ + $(LIB_XTESTS_DLL_R)\ + $(LIB_XTESTS_DLL_R_W)\ + $(LIB_XTESTS_MT_R)\ + $(LIB_XTESTS_MT_R_W)\ + \ + +######################################## +# Programs + +TARGETS_BITBUCKET=\ + $(TARG_BITBUCKET_MT_D)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(TARG_BITBUCKET_DLL_D)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_R)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(TARG_BITBUCKET_MT_R)\ + $(TARG_BITBUCKET_MT_R_W)\ + \ + +TARGETS_EXAMPLE=\ + $(TARG_EX_boolean_MT_D)\ + $(TARG_EX_character_MT_D)\ + $(TARG_EX_reals_MT_D)\ + $(TARG_EX_voidptrs_MT_D)\ + $(TARG_EX_ff_101_MT_D)\ + $(TARG_EX_ff_101_nons_MT_D)\ + $(TARG_EX_flush_MT_D)\ + $(TARG_EX_ins_hex_MT_D)\ + $(TARG_EX_ins_integers_MT_D)\ + $(TARG_EX_ins_reals_MT_D)\ + $(TARG_EX_ins_WLIs_MT_D)\ + $(TARG_EX_itr_fmt_MT_D)\ + $(TARG_EX_ovld2_filt_MT_D)\ + $(TARG_EX_ovld2_ins_MT_D)\ + $(TARG_EX_ovld2_sas_MT_D)\ + $(TARG_EX_ovld3_fpcol_MT_D)\ + $(TARG_EX_ovld3_tabs_MT_D)\ + $(TARG_EX_ovld3_svrlg_MT_D)\ + $(TARG_EX_ovld3_rcins_MT_D)\ + $(TARG_EX_pad_hash_MT_D)\ + $(TARG_EX_sink_cstr_MT_D)\ + $(TARG_EX_sink_ODS_MT_D)\ + $(TARG_EX_boolean_MT_D_W)\ + $(TARG_EX_character_MT_D_W)\ + $(TARG_EX_reals_MT_D_W)\ + $(TARG_EX_voidptrs_MT_D_W)\ + $(TARG_EX_itr_fmt_MT_D_W)\ + $(TARG_EX_sink_ODS_MT_D_W)\ + $(TARG_EX_boolean_DLL_D)\ + $(TARG_EX_character_DLL_D)\ + $(TARG_EX_reals_DLL_D)\ + $(TARG_EX_voidptrs_DLL_D)\ + $(TARG_EX_ff_101_DLL_D)\ + $(TARG_EX_ff_101_nons_DLL_D)\ + $(TARG_EX_flush_DLL_D)\ + $(TARG_EX_ins_hex_DLL_D)\ + $(TARG_EX_ins_integers_DLL_D)\ + $(TARG_EX_ins_reals_DLL_D)\ + $(TARG_EX_ins_WLIs_DLL_D)\ + $(TARG_EX_itr_fmt_DLL_D)\ + $(TARG_EX_ovld2_filt_DLL_D)\ + $(TARG_EX_ovld2_ins_DLL_D)\ + $(TARG_EX_ovld2_sas_DLL_D)\ + $(TARG_EX_ovld3_fpcol_DLL_D)\ + $(TARG_EX_ovld3_tabs_DLL_D)\ + $(TARG_EX_ovld3_svrlg_DLL_D)\ + $(TARG_EX_ovld3_rcins_DLL_D)\ + $(TARG_EX_pad_hash_DLL_D)\ + $(TARG_EX_sink_cstr_DLL_D)\ + $(TARG_EX_sink_ODS_DLL_D)\ + $(TARG_EX_boolean_DLL_D_W)\ + $(TARG_EX_character_DLL_D_W)\ + $(TARG_EX_reals_DLL_D_W)\ + $(TARG_EX_voidptrs_DLL_D_W)\ + $(TARG_EX_itr_fmt_DLL_D_W)\ + $(TARG_EX_sink_ODS_DLL_D_W)\ + $(TARG_EX_boolean_DLL_R)\ + $(TARG_EX_character_DLL_R)\ + $(TARG_EX_reals_DLL_R)\ + $(TARG_EX_voidptrs_DLL_R)\ + $(TARG_EX_ff_101_DLL_R)\ + $(TARG_EX_ff_101_nons_DLL_R)\ + $(TARG_EX_flush_DLL_R)\ + $(TARG_EX_ins_hex_DLL_R)\ + $(TARG_EX_ins_integers_DLL_R)\ + $(TARG_EX_ins_reals_DLL_R)\ + $(TARG_EX_ins_WLIs_DLL_R)\ + $(TARG_EX_itr_fmt_DLL_R)\ + $(TARG_EX_ovld2_filt_DLL_R)\ + $(TARG_EX_ovld2_ins_DLL_R)\ + $(TARG_EX_ovld2_sas_DLL_R)\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_pad_hash_DLL_R)\ + $(TARG_EX_sink_cstr_DLL_R)\ + $(TARG_EX_sink_ODS_DLL_R)\ + $(TARG_EX_boolean_DLL_R_W)\ + $(TARG_EX_character_DLL_R_W)\ + $(TARG_EX_reals_DLL_R_W)\ + $(TARG_EX_voidptrs_DLL_R_W)\ + $(TARG_EX_itr_fmt_DLL_R_W)\ + $(TARG_EX_sink_ODS_DLL_R_W)\ + $(TARG_EX_boolean_MT_R)\ + $(TARG_EX_character_MT_R)\ + $(TARG_EX_reals_MT_R)\ + $(TARG_EX_voidptrs_MT_R)\ + $(TARG_EX_ff_101_MT_R)\ + $(TARG_EX_ff_101_nons_MT_R)\ + $(TARG_EX_flush_MT_R)\ + $(TARG_EX_ins_hex_MT_R)\ + $(TARG_EX_ins_integers_MT_R)\ + $(TARG_EX_ins_reals_MT_R)\ + $(TARG_EX_ins_WLIs_MT_R)\ + $(TARG_EX_itr_fmt_MT_R)\ + $(TARG_EX_ovld2_filt_MT_R)\ + $(TARG_EX_ovld2_ins_MT_R)\ + $(TARG_EX_ovld2_sas_MT_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + $(TARG_EX_pad_hash_MT_R)\ + $(TARG_EX_sink_cstr_MT_R)\ + $(TARG_EX_sink_ODS_MT_R)\ + $(TARG_EX_boolean_MT_R_W)\ + $(TARG_EX_character_MT_R_W)\ + $(TARG_EX_reals_MT_R_W)\ + $(TARG_EX_voidptrs_MT_R_W)\ + $(TARG_EX_itr_fmt_MT_R_W)\ + $(TARG_EX_sink_ODS_MT_R_W)\ + \ + +TARGETS_EXAMPLE_OVERLOAD_3=\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + \ + +TARGETS_SAMPLE=\ + \ + +TARGETS_TEST_COMPONENT=\ + $(TARG_TEST_COMP_file_MT_D)\ + $(TARG_TEST_COMP_fmt_sink_MT_D)\ + $(TARG_TEST_COMP_ins_hex_MT_D)\ + $(TARG_TEST_COMP_ins_int_MT_D)\ + $(TARG_TEST_COMP_ins_real_MT_D)\ + $(TARG_TEST_COMP_itr_fmt_MT_D)\ + $(TARG_TEST_COMP_null_sink_MT_D)\ + $(TARG_TEST_COMP_snk_a_b_MT_D)\ + $(TARG_TEST_COMP_write_sink_MT_D)\ + $(TARG_TEST_COMP_x_1_MT_D)\ + $(TARG_TEST_COMP_snk_ostm_MT_D)\ + $(TARG_TEST_COMP_file_MT_D_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_D_W)\ + $(TARG_TEST_COMP_ins_hex_MT_D_W)\ + $(TARG_TEST_COMP_ins_int_MT_D_W)\ + $(TARG_TEST_COMP_ins_real_MT_D_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_D_W)\ + $(TARG_TEST_COMP_null_sink_MT_D_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_D_W)\ + $(TARG_TEST_COMP_write_sink_MT_D_W)\ + $(TARG_TEST_COMP_x_1_MT_D_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_D_W)\ + $(TARG_TEST_COMP_file_DLL_D)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D)\ + $(TARG_TEST_COMP_ins_hex_DLL_D)\ + $(TARG_TEST_COMP_ins_int_DLL_D)\ + $(TARG_TEST_COMP_ins_real_DLL_D)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D)\ + $(TARG_TEST_COMP_null_sink_DLL_D)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D)\ + $(TARG_TEST_COMP_write_sink_DLL_D)\ + $(TARG_TEST_COMP_x_1_DLL_D)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D)\ + $(TARG_TEST_COMP_file_DLL_D_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_D_W)\ + $(TARG_TEST_COMP_ins_int_DLL_D_W)\ + $(TARG_TEST_COMP_ins_real_DLL_D_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D_W)\ + $(TARG_TEST_COMP_null_sink_DLL_D_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D_W)\ + $(TARG_TEST_COMP_write_sink_DLL_D_W)\ + $(TARG_TEST_COMP_x_1_DLL_D_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D_W)\ + $(TARG_TEST_COMP_file_DLL_R)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R)\ + $(TARG_TEST_COMP_ins_hex_DLL_R)\ + $(TARG_TEST_COMP_ins_int_DLL_R)\ + $(TARG_TEST_COMP_ins_real_DLL_R)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R)\ + $(TARG_TEST_COMP_null_sink_DLL_R)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R)\ + $(TARG_TEST_COMP_write_sink_DLL_R)\ + $(TARG_TEST_COMP_x_1_DLL_R)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R)\ + $(TARG_TEST_COMP_file_DLL_R_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_R_W)\ + $(TARG_TEST_COMP_ins_int_DLL_R_W)\ + $(TARG_TEST_COMP_ins_real_DLL_R_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R_W)\ + $(TARG_TEST_COMP_null_sink_DLL_R_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R_W)\ + $(TARG_TEST_COMP_write_sink_DLL_R_W)\ + $(TARG_TEST_COMP_x_1_DLL_R_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R_W)\ + $(TARG_TEST_COMP_file_MT_R)\ + $(TARG_TEST_COMP_fmt_sink_MT_R)\ + $(TARG_TEST_COMP_ins_hex_MT_R)\ + $(TARG_TEST_COMP_ins_int_MT_R)\ + $(TARG_TEST_COMP_ins_real_MT_R)\ + $(TARG_TEST_COMP_itr_fmt_MT_R)\ + $(TARG_TEST_COMP_null_sink_MT_R)\ + $(TARG_TEST_COMP_snk_a_b_MT_R)\ + $(TARG_TEST_COMP_write_sink_MT_R)\ + $(TARG_TEST_COMP_x_1_MT_R)\ + $(TARG_TEST_COMP_snk_ostm_MT_R)\ + $(TARG_TEST_COMP_file_MT_R_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_R_W)\ + $(TARG_TEST_COMP_ins_hex_MT_R_W)\ + $(TARG_TEST_COMP_ins_int_MT_R_W)\ + $(TARG_TEST_COMP_ins_real_MT_R_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_R_W)\ + $(TARG_TEST_COMP_null_sink_MT_R_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_R_W)\ + $(TARG_TEST_COMP_write_sink_MT_R_W)\ + $(TARG_TEST_COMP_x_1_MT_R_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +TARGETS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +TARGETS_TEST_PERFORMANCE_BOOST=\ + $(TARG_TEST_PERF_scen8_MT_D)\ + $(TARG_TEST_PERF_scen8_DLL_D)\ + $(TARG_TEST_PERF_scen8_DLL_R)\ + $(TARG_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +TARGETS_TEST_PERFORMANCE=\ + $(TARG_TEST_PERF_scen1_MT_D)\ + $(TARG_TEST_PERF_scen2_MT_D)\ + $(TARG_TEST_PERF_scen3_MT_D)\ + $(TARG_TEST_PERF_scen4_MT_D)\ + $(TARG_TEST_PERF_scen5_MT_D)\ + $(TARG_TEST_PERF_scen6_MT_D)\ + $(TARG_TEST_PERF_scen7_MT_D)\ + $(TARG_TEST_PERF_scen1_DLL_D)\ + $(TARG_TEST_PERF_scen2_DLL_D)\ + $(TARG_TEST_PERF_scen3_DLL_D)\ + $(TARG_TEST_PERF_scen4_DLL_D)\ + $(TARG_TEST_PERF_scen5_DLL_D)\ + $(TARG_TEST_PERF_scen6_DLL_D)\ + $(TARG_TEST_PERF_scen7_DLL_D)\ + $(TARG_TEST_PERF_scen1_DLL_R)\ + $(TARG_TEST_PERF_scen2_DLL_R)\ + $(TARG_TEST_PERF_scen3_DLL_R)\ + $(TARG_TEST_PERF_scen4_DLL_R)\ + $(TARG_TEST_PERF_scen5_DLL_R)\ + $(TARG_TEST_PERF_scen6_DLL_R)\ + $(TARG_TEST_PERF_scen7_DLL_R)\ + $(TARG_TEST_PERF_scen1_MT_R)\ + $(TARG_TEST_PERF_scen2_MT_R)\ + $(TARG_TEST_PERF_scen3_MT_R)\ + $(TARG_TEST_PERF_scen4_MT_R)\ + $(TARG_TEST_PERF_scen5_MT_R)\ + $(TARG_TEST_PERF_scen6_MT_R)\ + $(TARG_TEST_PERF_scen7_MT_R)\ + $(TARGETS_TEST_PERFORMANCE_BOOST)\ + \ + +TARGETS_TEST_SCRATCH=\ + $(TARG_TEST_SCRT_file_MT_D)\ + $(TARG_TEST_SCRT_speech_MT_D)\ + $(TARG_TEST_SCRT_file_MT_D_W)\ + $(TARG_TEST_SCRT_speech_MT_D_W)\ + $(TARG_TEST_SCRT_file_DLL_D)\ + $(TARG_TEST_SCRT_speech_DLL_D)\ + $(TARG_TEST_SCRT_file_DLL_D_W)\ + $(TARG_TEST_SCRT_speech_DLL_D_W)\ + $(TARG_TEST_SCRT_file_DLL_R)\ + $(TARG_TEST_SCRT_speech_DLL_R)\ + $(TARG_TEST_SCRT_file_DLL_R_W)\ + $(TARG_TEST_SCRT_speech_DLL_R_W)\ + $(TARG_TEST_SCRT_file_MT_R)\ + $(TARG_TEST_SCRT_speech_MT_R)\ + $(TARG_TEST_SCRT_file_MT_R_W)\ + $(TARG_TEST_SCRT_speech_MT_R_W)\ + \ + +TARGETS_TEST_UNIT=\ + $(TARG_TEST_UNIT_api_acsllen_MT_D)\ + $(TARG_TEST_UNIT_api_crlf_MT_D)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D)\ + $(TARG_TEST_UNIT_api_init_MT_D)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D)\ + $(TARG_TEST_UNIT_ins_ch_MT_D)\ + $(TARG_TEST_UNIT_ins_i_MT_D)\ + $(TARG_TEST_UNIT_shim_fi_MT_D)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D)\ + $(TARG_TEST_UNIT_snk_null_MT_D)\ + $(TARG_TEST_UNIT_snk_ods_MT_D)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D)\ + $(TARG_TEST_UNIT_api_acsllen_MT_D_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(TARG_TEST_UNIT_api_init_MT_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_D_W)\ + $(TARG_TEST_UNIT_ins_i_MT_D_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(TARG_TEST_UNIT_snk_null_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D)\ + $(TARG_TEST_UNIT_api_init_DLL_D)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D)\ + $(TARG_TEST_UNIT_ins_i_DLL_D)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(TARG_TEST_UNIT_snk_null_DLL_D)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_init_DLL_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_D_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R)\ + $(TARG_TEST_UNIT_api_init_DLL_R)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R)\ + $(TARG_TEST_UNIT_ins_i_DLL_R)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(TARG_TEST_UNIT_snk_null_DLL_R)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_init_DLL_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_R_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R)\ + $(TARG_TEST_UNIT_api_crlf_MT_R)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R)\ + $(TARG_TEST_UNIT_api_init_MT_R)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R)\ + $(TARG_TEST_UNIT_ins_ch_MT_R)\ + $(TARG_TEST_UNIT_ins_i_MT_R)\ + $(TARG_TEST_UNIT_shim_fi_MT_R)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R)\ + $(TARG_TEST_UNIT_snk_null_MT_R)\ + $(TARG_TEST_UNIT_snk_ods_MT_R)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(TARG_TEST_UNIT_api_init_MT_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_R_W)\ + $(TARG_TEST_UNIT_ins_i_MT_R_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(TARG_TEST_UNIT_snk_null_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] )\ + +############################################################ +# Third-party libraries + + + + + + + + + +############################################################################ +# External Targets (invokable by make) + +# primary + +_default: build + +usage: + @echo "make [usage | compile | build | test | clean] - default is 'build'" + +# infrastructure + + + +prep.files.for.os: $(PROJ_MAKEFILE_NAME)\ + \ + +prep: prep.files.for.os + + +check.lib.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + +check.bin.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_BIN_DIR)\NUL mkdir $(PROJ_BIN_DIR) + + +# compile targets + +compile.libs.core: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_CORE) + +compile.libs.loki.safefmt: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_LOKI_SFMT) + +compile.libs.shwild: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SHWILD) + +compile.libs.xtests: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_XTESTS) + +compile.libs: compile.libs.core compile.libs.loki.safefmt compile.libs.shwild compile.libs.xtests + +compile.bitbucket: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_BITBUCKET) + +compile.examples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_EXAMPLE) + +compile.samples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SAMPLE) + +compile.test.component: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_COMPONENT) + +compile.test.performance: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_PERFORMANCE) + +compile.test.scratch: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_SCRATCH) + +compile.test.unit: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_UNIT) + +compile.test: compile.test.unit compile.test.component + +compile.test.all: compile.test compile.test.scratch compile.test.performance + +compile: compile.libs compile.test compile.examples compile.samples + +compile.all: compile compile.test.all + + + +# build targets + +build.libs.core: check.lib.dir prep.files.for.os $(TARGETS_LIB_CORE) + +build.libs.loki.safefmt: check.lib.dir prep.files.for.os $(TARGETS_LIB_LOKI_SFMT) + +build.libs.shwild: check.lib.dir prep.files.for.os $(TARGETS_LIB_SHWILD) + +build.libs.xtests: check.lib.dir prep.files.for.os $(TARGETS_LIB_XTESTS) + +build.libs: build.libs.core build.libs.loki.safefmt build.libs.shwild build.libs.xtests + +build.bitbucket: check.bin.dir prep.files.for.os $(TARGETS_BITBUCKET) + +build.examples: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE) + +build.examples.overload.3: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE_OVERLOAD_3) + +build.samples: check.bin.dir prep.files.for.os $(TARGETS_SAMPLE) + +build.test.component: check.bin.dir prep.files.for.os $(TARGETS_TEST_COMPONENT) + +build.test.performance: check.bin.dir prep.files.for.os $(TARGETS_TEST_PERFORMANCE) + +build.test.scratch: check.bin.dir prep.files.for.os $(TARGETS_TEST_SCRATCH) + +build.test.unit: check.bin.dir prep.files.for.os $(TARGETS_TEST_UNIT) + +build.test: build.test.unit build.test.component build.test.performance + +build.test.all: build.test build.test.scratch + +build: build.libs build.examples build.samples build.test + +build.all: build build.test.all + + + +# test targets + +test.component_: + @echo Running Test:Component programs ... + $(TARG_TEST_COMP_file_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.performance_: + @echo Running Test:Performance programs ... + $(TARG_TEST_PERF_scen1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_DLL_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_DLL_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + $(TARG_TEST_PERF_scen1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_MT_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_MT_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + +test.scratch_: + @echo Running Test:Scratch programs ... + $(TARG_TEST_SCRT_file_MT_D) + $(TARG_TEST_SCRT_speech_MT_D) + $(TARG_TEST_SCRT_file_MT_D_W) + $(TARG_TEST_SCRT_speech_MT_D_W) + $(TARG_TEST_SCRT_file_DLL_D) + $(TARG_TEST_SCRT_speech_DLL_D) + $(TARG_TEST_SCRT_file_DLL_D_W) + $(TARG_TEST_SCRT_speech_DLL_D_W) + $(TARG_TEST_SCRT_file_DLL_R) + $(TARG_TEST_SCRT_speech_DLL_R) + $(TARG_TEST_SCRT_file_DLL_R_W) + $(TARG_TEST_SCRT_speech_DLL_R_W) + $(TARG_TEST_SCRT_file_MT_R) + $(TARG_TEST_SCRT_speech_MT_R) + $(TARG_TEST_SCRT_file_MT_R_W) + $(TARG_TEST_SCRT_speech_MT_R_W) + +test.unit_: + @echo Running Test:Unit programs ... + $(TARG_TEST_UNIT_api_acsllen_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] ) --verbosity=$(TEST_VERBOSITY) + +test.examples_: + @echo Running Example programs ... + $(TARG_EX_boolean_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_integers_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_reals_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_WLIs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld2_filt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_tabs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_svrlg_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_rcins_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_pad_hash_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_cstr_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_ODS_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D_W) + $(TARG_EX_sink_ODS_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_integers_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_WLIs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld2_filt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_tabs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_svrlg_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_rcins_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_pad_hash_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_cstr_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_ODS_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D_W) + $(TARG_EX_sink_ODS_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_integers_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_WLIs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld2_filt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_pad_hash_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_cstr_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_ODS_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R_W) + $(TARG_EX_sink_ODS_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_integers_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_reals_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_WLIs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld2_filt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_pad_hash_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_cstr_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_ODS_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R_W) + $(TARG_EX_sink_ODS_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.examples.overload.3_: + @echo Running Overload 3 Example programs ... + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + +test.component: build.test.component test.component_ + +test.performance: build.test.performance test.performance_ + +test.scratch: build.test.scratch test.scratch_ + +test.unit: build.test.unit test.unit_ + +test.examples: build.examples test.examples_ + +test.examples.overload.3: build.examples.overload.3 test.examples.overload.3_ + +test: test.unit test.component + +test.all: build.all test test.performance test.scratch test.examples +test-all: test.all + + + +# clean targets + +clean.libs.core: + @echo cleaning Core libraries ... + @-if exist core.*.obj $(RM) core.*.obj + @-if exist core.*.pdb $(RM) core.*.pdb + @-if exist core.*.manifest $(RM) core.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.loki.safefmt: + @echo cleaning Loki.SafeFormat libraries ... + @-if exist loki.safefmt.*.obj $(RM) loki.safefmt.*.obj + @-if exist loki.safefmt.*.pdb $(RM) loki.safefmt.*.pdb + @-if exist loki.safefmt.*.manifest $(RM) loki.safefmt.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.shwild: + @echo cleaning shwild libraries ... + @-if exist shwild.*.obj $(RM) shwild.*.obj + @-if exist shwild.*.pdb $(RM) shwild.*.pdb + @-if exist shwild.*.manifest $(RM) shwild.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.xtests: + @echo cleaning xTests libraries ... + @-if exist xtests.*.obj $(RM) xtests.*.obj + @-if exist xtests.*.pdb $(RM) xtests.*.pdb + @-if exist xtests.*.manifest $(RM) xtests.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs: clean.libs.core clean.libs.loki.safefmt clean.libs.shwild clean.libs.xtests + +clean.bitbucket: + @echo cleaning Bitbucket programs ... + @-if exist $(TARG_BITBUCKET_MT_D) $(RM) $(TARG_BITBUCKET_MT_D) + @-if exist $(OBJ_BITBUCKET_MT_D) $(RM) $(OBJ_BITBUCKET_MT_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_D_W) $(RM) $(TARG_BITBUCKET_MT_D_W) + @-if exist $(OBJ_BITBUCKET_MT_D_W) $(RM) $(OBJ_BITBUCKET_MT_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D) $(RM) $(TARG_BITBUCKET_DLL_D) + @-if exist $(OBJ_BITBUCKET_DLL_D) $(RM) $(OBJ_BITBUCKET_DLL_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D_W) $(RM) $(TARG_BITBUCKET_DLL_D_W) + @-if exist $(OBJ_BITBUCKET_DLL_D_W) $(RM) $(OBJ_BITBUCKET_DLL_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R) $(RM) $(TARG_BITBUCKET_DLL_R) + @-if exist $(OBJ_BITBUCKET_DLL_R) $(RM) $(OBJ_BITBUCKET_DLL_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R_W) $(RM) $(TARG_BITBUCKET_DLL_R_W) + @-if exist $(OBJ_BITBUCKET_DLL_R_W) $(RM) $(OBJ_BITBUCKET_DLL_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R) $(RM) $(TARG_BITBUCKET_MT_R) + @-if exist $(OBJ_BITBUCKET_MT_R) $(RM) $(OBJ_BITBUCKET_MT_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R_W) $(RM) $(TARG_BITBUCKET_MT_R_W) + @-if exist $(OBJ_BITBUCKET_MT_R_W) $(RM) $(OBJ_BITBUCKET_MT_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + +clean.examples: + @echo cleaning Example programs ... + @-if exist $(TARG_EX_boolean_MT_D) $(RM) $(TARG_EX_boolean_MT_D) + @-if exist $(OBJ_EX_boolean_MT_D) $(RM) $(OBJ_EX_boolean_MT_D) + @-if exist $(TARG_EX_character_MT_D) $(RM) $(TARG_EX_character_MT_D) + @-if exist $(OBJ_EX_character_MT_D) $(RM) $(OBJ_EX_character_MT_D) + @-if exist $(TARG_EX_reals_MT_D) $(RM) $(TARG_EX_reals_MT_D) + @-if exist $(OBJ_EX_reals_MT_D) $(RM) $(OBJ_EX_reals_MT_D) + @-if exist $(TARG_EX_voidptrs_MT_D) $(RM) $(TARG_EX_voidptrs_MT_D) + @-if exist $(OBJ_EX_voidptrs_MT_D) $(RM) $(OBJ_EX_voidptrs_MT_D) + @-if exist $(TARG_EX_ff_101_MT_D) $(RM) $(TARG_EX_ff_101_MT_D) + @-if exist $(OBJ_EX_ff_101_MT_D) $(RM) $(OBJ_EX_ff_101_MT_D) + @-if exist $(TARG_EX_ff_101_nons_MT_D) $(RM) $(TARG_EX_ff_101_nons_MT_D) + @-if exist $(OBJ_EX_ff_101_nons_MT_D) $(RM) $(OBJ_EX_ff_101_nons_MT_D) + @-if exist $(TARG_EX_flush_MT_D) $(RM) $(TARG_EX_flush_MT_D) + @-if exist $(OBJ_EX_flush_MT_D) $(RM) $(OBJ_EX_flush_MT_D) + @-if exist $(TARG_EX_ins_hex_MT_D) $(RM) $(TARG_EX_ins_hex_MT_D) + @-if exist $(OBJ_EX_ins_hex_MT_D) $(RM) $(OBJ_EX_ins_hex_MT_D) + @-if exist $(TARG_EX_ins_integers_MT_D) $(RM) $(TARG_EX_ins_integers_MT_D) + @-if exist $(OBJ_EX_ins_integers_MT_D) $(RM) $(OBJ_EX_ins_integers_MT_D) + @-if exist $(TARG_EX_ins_WLIs_MT_D) $(RM) $(TARG_EX_ins_WLIs_MT_D) + @-if exist $(OBJ_EX_ins_WLIs_MT_D) $(RM) $(OBJ_EX_ins_WLIs_MT_D) + @-if exist $(TARG_EX_ins_reals_MT_D) $(RM) $(TARG_EX_ins_reals_MT_D) + @-if exist $(OBJ_EX_ins_reals_MT_D) $(RM) $(OBJ_EX_ins_reals_MT_D) + @-if exist $(TARG_EX_itr_fmt_MT_D) $(RM) $(TARG_EX_itr_fmt_MT_D) + @-if exist $(OBJ_EX_itr_fmt_MT_D) $(RM) $(OBJ_EX_itr_fmt_MT_D) + @-if exist $(TARG_EX_ovld2_filt_MT_D) $(RM) $(TARG_EX_ovld2_filt_MT_D) + @-if exist $(OBJ_EX_ovld2_filt_MT_D) $(RM) $(OBJ_EX_ovld2_filt_MT_D) + @-if exist $(TARG_EX_ovld2_ins_MT_D) $(RM) $(TARG_EX_ovld2_ins_MT_D) + @-if exist $(OBJ_EX_ovld2_ins_MT_D) $(RM) $(OBJ_EX_ovld2_ins_MT_D) + @-if exist $(TARG_EX_ovld2_sas_MT_D) $(RM) $(TARG_EX_ovld2_sas_MT_D) + @-if exist $(OBJ_EX_ovld2_sas_MT_D) $(RM) $(OBJ_EX_ovld2_sas_MT_D) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D) $(RM) $(TARG_EX_ovld3_fpcol_MT_D) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D) + @-if exist $(TARG_EX_ovld3_tabs_MT_D) $(RM) $(TARG_EX_ovld3_tabs_MT_D) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D) $(RM) $(OBJ_EX_ovld3_tabs_MT_D) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D) $(RM) $(TARG_EX_ovld3_svrlg_MT_D) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D) + @-if exist $(TARG_EX_ovld3_rcins_MT_D) $(RM) $(TARG_EX_ovld3_rcins_MT_D) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D) $(RM) $(OBJ_EX_ovld3_rcins_MT_D) + @-if exist $(TARG_EX_pad_hash_MT_D) $(RM) $(TARG_EX_pad_hash_MT_D) + @-if exist $(OBJ_EX_pad_hash_MT_D) $(RM) $(OBJ_EX_pad_hash_MT_D) + @-if exist $(TARG_EX_sink_cstr_MT_D) $(RM) $(TARG_EX_sink_cstr_MT_D) + @-if exist $(OBJ_EX_sink_cstr_MT_D) $(RM) $(OBJ_EX_sink_cstr_MT_D) + @-if exist $(TARG_EX_sink_ODS_MT_D) $(RM) $(TARG_EX_sink_ODS_MT_D) + @-if exist $(OBJ_EX_sink_ODS_MT_D) $(RM) $(OBJ_EX_sink_ODS_MT_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_D_W) $(RM) $(TARG_EX_boolean_MT_D_W) + @-if exist $(OBJ_EX_boolean_MT_D_W) $(RM) $(OBJ_EX_boolean_MT_D_W) + @-if exist $(TARG_EX_character_MT_D_W) $(RM) $(TARG_EX_character_MT_D_W) + @-if exist $(OBJ_EX_character_MT_D_W) $(RM) $(OBJ_EX_character_MT_D_W) + @-if exist $(TARG_EX_reals_MT_D_W) $(RM) $(TARG_EX_reals_MT_D_W) + @-if exist $(OBJ_EX_reals_MT_D_W) $(RM) $(OBJ_EX_reals_MT_D_W) + @-if exist $(TARG_EX_voidptrs_MT_D_W) $(RM) $(TARG_EX_voidptrs_MT_D_W) + @-if exist $(OBJ_EX_voidptrs_MT_D_W) $(RM) $(OBJ_EX_voidptrs_MT_D_W) + @-if exist $(TARG_EX_ff_101_MT_D_W) $(RM) $(TARG_EX_ff_101_MT_D_W) + @-if exist $(OBJ_EX_ff_101_MT_D_W) $(RM) $(OBJ_EX_ff_101_MT_D_W) + @-if exist $(TARG_EX_ff_101_nons_MT_D_W) $(RM) $(TARG_EX_ff_101_nons_MT_D_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_D_W) $(RM) $(OBJ_EX_ff_101_nons_MT_D_W) + @-if exist $(TARG_EX_flush_MT_D_W) $(RM) $(TARG_EX_flush_MT_D_W) + @-if exist $(OBJ_EX_flush_MT_D_W) $(RM) $(OBJ_EX_flush_MT_D_W) + @-if exist $(TARG_EX_ins_hex_MT_D_W) $(RM) $(TARG_EX_ins_hex_MT_D_W) + @-if exist $(OBJ_EX_ins_hex_MT_D_W) $(RM) $(OBJ_EX_ins_hex_MT_D_W) + @-if exist $(TARG_EX_ins_integers_MT_D_W) $(RM) $(TARG_EX_ins_integers_MT_D_W) + @-if exist $(OBJ_EX_ins_integers_MT_D_W) $(RM) $(OBJ_EX_ins_integers_MT_D_W) + @-if exist $(TARG_EX_ins_WLIs_MT_D_W) $(RM) $(TARG_EX_ins_WLIs_MT_D_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_D_W) $(RM) $(OBJ_EX_ins_WLIs_MT_D_W) + @-if exist $(TARG_EX_ins_reals_MT_D_W) $(RM) $(TARG_EX_ins_reals_MT_D_W) + @-if exist $(OBJ_EX_ins_reals_MT_D_W) $(RM) $(OBJ_EX_ins_reals_MT_D_W) + @-if exist $(TARG_EX_itr_fmt_MT_D_W) $(RM) $(TARG_EX_itr_fmt_MT_D_W) + @-if exist $(OBJ_EX_itr_fmt_MT_D_W) $(RM) $(OBJ_EX_itr_fmt_MT_D_W) + @-if exist $(TARG_EX_ovld2_filt_MT_D_W) $(RM) $(TARG_EX_ovld2_filt_MT_D_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_D_W) $(RM) $(OBJ_EX_ovld2_filt_MT_D_W) + @-if exist $(TARG_EX_ovld2_ins_MT_D_W) $(RM) $(TARG_EX_ovld2_ins_MT_D_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_D_W) $(RM) $(OBJ_EX_ovld2_ins_MT_D_W) + @-if exist $(TARG_EX_ovld2_sas_MT_D_W) $(RM) $(TARG_EX_ovld2_sas_MT_D_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_D_W) $(RM) $(OBJ_EX_ovld2_sas_MT_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_D_W) $(RM) $(TARG_EX_ovld3_tabs_MT_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_D_W) $(RM) $(TARG_EX_ovld3_rcins_MT_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_D_W) + @-if exist $(TARG_EX_pad_hash_MT_D_W) $(RM) $(TARG_EX_pad_hash_MT_D_W) + @-if exist $(OBJ_EX_pad_hash_MT_D_W) $(RM) $(OBJ_EX_pad_hash_MT_D_W) + @-if exist $(TARG_EX_sink_cstr_MT_D_W) $(RM) $(TARG_EX_sink_cstr_MT_D_W) + @-if exist $(OBJ_EX_sink_cstr_MT_D_W) $(RM) $(OBJ_EX_sink_cstr_MT_D_W) + @-if exist $(TARG_EX_sink_ODS_MT_D_W) $(RM) $(TARG_EX_sink_ODS_MT_D_W) + @-if exist $(OBJ_EX_sink_ODS_MT_D_W) $(RM) $(OBJ_EX_sink_ODS_MT_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D) $(RM) $(TARG_EX_boolean_DLL_D) + @-if exist $(OBJ_EX_boolean_DLL_D) $(RM) $(OBJ_EX_boolean_DLL_D) + @-if exist $(TARG_EX_character_DLL_D) $(RM) $(TARG_EX_character_DLL_D) + @-if exist $(OBJ_EX_character_DLL_D) $(RM) $(OBJ_EX_character_DLL_D) + @-if exist $(TARG_EX_reals_DLL_D) $(RM) $(TARG_EX_reals_DLL_D) + @-if exist $(OBJ_EX_reals_DLL_D) $(RM) $(OBJ_EX_reals_DLL_D) + @-if exist $(TARG_EX_voidptrs_DLL_D) $(RM) $(TARG_EX_voidptrs_DLL_D) + @-if exist $(OBJ_EX_voidptrs_DLL_D) $(RM) $(OBJ_EX_voidptrs_DLL_D) + @-if exist $(TARG_EX_ff_101_DLL_D) $(RM) $(TARG_EX_ff_101_DLL_D) + @-if exist $(OBJ_EX_ff_101_DLL_D) $(RM) $(OBJ_EX_ff_101_DLL_D) + @-if exist $(TARG_EX_ff_101_nons_DLL_D) $(RM) $(TARG_EX_ff_101_nons_DLL_D) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D) $(RM) $(OBJ_EX_ff_101_nons_DLL_D) + @-if exist $(TARG_EX_flush_DLL_D) $(RM) $(TARG_EX_flush_DLL_D) + @-if exist $(OBJ_EX_flush_DLL_D) $(RM) $(OBJ_EX_flush_DLL_D) + @-if exist $(TARG_EX_ins_hex_DLL_D) $(RM) $(TARG_EX_ins_hex_DLL_D) + @-if exist $(OBJ_EX_ins_hex_DLL_D) $(RM) $(OBJ_EX_ins_hex_DLL_D) + @-if exist $(TARG_EX_ins_integers_DLL_D) $(RM) $(TARG_EX_ins_integers_DLL_D) + @-if exist $(OBJ_EX_ins_integers_DLL_D) $(RM) $(OBJ_EX_ins_integers_DLL_D) + @-if exist $(TARG_EX_ins_WLIs_DLL_D) $(RM) $(TARG_EX_ins_WLIs_DLL_D) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D) $(RM) $(OBJ_EX_ins_WLIs_DLL_D) + @-if exist $(TARG_EX_ins_reals_DLL_D) $(RM) $(TARG_EX_ins_reals_DLL_D) + @-if exist $(OBJ_EX_ins_reals_DLL_D) $(RM) $(OBJ_EX_ins_reals_DLL_D) + @-if exist $(TARG_EX_itr_fmt_DLL_D) $(RM) $(TARG_EX_itr_fmt_DLL_D) + @-if exist $(OBJ_EX_itr_fmt_DLL_D) $(RM) $(OBJ_EX_itr_fmt_DLL_D) + @-if exist $(TARG_EX_ovld2_filt_DLL_D) $(RM) $(TARG_EX_ovld2_filt_DLL_D) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D) $(RM) $(OBJ_EX_ovld2_filt_DLL_D) + @-if exist $(TARG_EX_ovld2_ins_DLL_D) $(RM) $(TARG_EX_ovld2_ins_DLL_D) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D) $(RM) $(OBJ_EX_ovld2_ins_DLL_D) + @-if exist $(TARG_EX_ovld2_sas_DLL_D) $(RM) $(TARG_EX_ovld2_sas_DLL_D) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D) $(RM) $(OBJ_EX_ovld2_sas_DLL_D) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D) $(RM) $(TARG_EX_ovld3_tabs_DLL_D) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D) $(RM) $(TARG_EX_ovld3_rcins_DLL_D) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D) + @-if exist $(TARG_EX_pad_hash_DLL_D) $(RM) $(TARG_EX_pad_hash_DLL_D) + @-if exist $(OBJ_EX_pad_hash_DLL_D) $(RM) $(OBJ_EX_pad_hash_DLL_D) + @-if exist $(TARG_EX_sink_cstr_DLL_D) $(RM) $(TARG_EX_sink_cstr_DLL_D) + @-if exist $(OBJ_EX_sink_cstr_DLL_D) $(RM) $(OBJ_EX_sink_cstr_DLL_D) + @-if exist $(TARG_EX_sink_ODS_DLL_D) $(RM) $(TARG_EX_sink_ODS_DLL_D) + @-if exist $(OBJ_EX_sink_ODS_DLL_D) $(RM) $(OBJ_EX_sink_ODS_DLL_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D_W) $(RM) $(TARG_EX_boolean_DLL_D_W) + @-if exist $(OBJ_EX_boolean_DLL_D_W) $(RM) $(OBJ_EX_boolean_DLL_D_W) + @-if exist $(TARG_EX_character_DLL_D_W) $(RM) $(TARG_EX_character_DLL_D_W) + @-if exist $(OBJ_EX_character_DLL_D_W) $(RM) $(OBJ_EX_character_DLL_D_W) + @-if exist $(TARG_EX_reals_DLL_D_W) $(RM) $(TARG_EX_reals_DLL_D_W) + @-if exist $(OBJ_EX_reals_DLL_D_W) $(RM) $(OBJ_EX_reals_DLL_D_W) + @-if exist $(TARG_EX_voidptrs_DLL_D_W) $(RM) $(TARG_EX_voidptrs_DLL_D_W) + @-if exist $(OBJ_EX_voidptrs_DLL_D_W) $(RM) $(OBJ_EX_voidptrs_DLL_D_W) + @-if exist $(TARG_EX_ff_101_DLL_D_W) $(RM) $(TARG_EX_ff_101_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_DLL_D_W) $(RM) $(OBJ_EX_ff_101_DLL_D_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_D_W) $(RM) $(TARG_EX_ff_101_nons_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_D_W) + @-if exist $(TARG_EX_flush_DLL_D_W) $(RM) $(TARG_EX_flush_DLL_D_W) + @-if exist $(OBJ_EX_flush_DLL_D_W) $(RM) $(OBJ_EX_flush_DLL_D_W) + @-if exist $(TARG_EX_ins_hex_DLL_D_W) $(RM) $(TARG_EX_ins_hex_DLL_D_W) + @-if exist $(OBJ_EX_ins_hex_DLL_D_W) $(RM) $(OBJ_EX_ins_hex_DLL_D_W) + @-if exist $(TARG_EX_ins_integers_DLL_D_W) $(RM) $(TARG_EX_ins_integers_DLL_D_W) + @-if exist $(OBJ_EX_ins_integers_DLL_D_W) $(RM) $(OBJ_EX_ins_integers_DLL_D_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_D_W) $(RM) $(TARG_EX_ins_WLIs_DLL_D_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_D_W) + @-if exist $(TARG_EX_ins_reals_DLL_D_W) $(RM) $(TARG_EX_ins_reals_DLL_D_W) + @-if exist $(OBJ_EX_ins_reals_DLL_D_W) $(RM) $(OBJ_EX_ins_reals_DLL_D_W) + @-if exist $(TARG_EX_itr_fmt_DLL_D_W) $(RM) $(TARG_EX_itr_fmt_DLL_D_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_D_W) $(RM) $(OBJ_EX_itr_fmt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_D_W) $(RM) $(TARG_EX_ovld2_filt_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_D_W) $(RM) $(TARG_EX_ovld2_ins_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_D_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_D_W) $(RM) $(TARG_EX_ovld2_sas_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D_W) + @-if exist $(TARG_EX_pad_hash_DLL_D_W) $(RM) $(TARG_EX_pad_hash_DLL_D_W) + @-if exist $(OBJ_EX_pad_hash_DLL_D_W) $(RM) $(OBJ_EX_pad_hash_DLL_D_W) + @-if exist $(TARG_EX_sink_cstr_DLL_D_W) $(RM) $(TARG_EX_sink_cstr_DLL_D_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_D_W) $(RM) $(OBJ_EX_sink_cstr_DLL_D_W) + @-if exist $(TARG_EX_sink_ODS_DLL_D_W) $(RM) $(TARG_EX_sink_ODS_DLL_D_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_D_W) $(RM) $(OBJ_EX_sink_ODS_DLL_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R) $(RM) $(TARG_EX_boolean_DLL_R) + @-if exist $(OBJ_EX_boolean_DLL_R) $(RM) $(OBJ_EX_boolean_DLL_R) + @-if exist $(TARG_EX_character_DLL_R) $(RM) $(TARG_EX_character_DLL_R) + @-if exist $(OBJ_EX_character_DLL_R) $(RM) $(OBJ_EX_character_DLL_R) + @-if exist $(TARG_EX_reals_DLL_R) $(RM) $(TARG_EX_reals_DLL_R) + @-if exist $(OBJ_EX_reals_DLL_R) $(RM) $(OBJ_EX_reals_DLL_R) + @-if exist $(TARG_EX_voidptrs_DLL_R) $(RM) $(TARG_EX_voidptrs_DLL_R) + @-if exist $(OBJ_EX_voidptrs_DLL_R) $(RM) $(OBJ_EX_voidptrs_DLL_R) + @-if exist $(TARG_EX_ff_101_DLL_R) $(RM) $(TARG_EX_ff_101_DLL_R) + @-if exist $(OBJ_EX_ff_101_DLL_R) $(RM) $(OBJ_EX_ff_101_DLL_R) + @-if exist $(TARG_EX_ff_101_nons_DLL_R) $(RM) $(TARG_EX_ff_101_nons_DLL_R) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R) $(RM) $(OBJ_EX_ff_101_nons_DLL_R) + @-if exist $(TARG_EX_flush_DLL_R) $(RM) $(TARG_EX_flush_DLL_R) + @-if exist $(OBJ_EX_flush_DLL_R) $(RM) $(OBJ_EX_flush_DLL_R) + @-if exist $(TARG_EX_ins_hex_DLL_R) $(RM) $(TARG_EX_ins_hex_DLL_R) + @-if exist $(OBJ_EX_ins_hex_DLL_R) $(RM) $(OBJ_EX_ins_hex_DLL_R) + @-if exist $(TARG_EX_ins_integers_DLL_R) $(RM) $(TARG_EX_ins_integers_DLL_R) + @-if exist $(OBJ_EX_ins_integers_DLL_R) $(RM) $(OBJ_EX_ins_integers_DLL_R) + @-if exist $(TARG_EX_ins_WLIs_DLL_R) $(RM) $(TARG_EX_ins_WLIs_DLL_R) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R) $(RM) $(OBJ_EX_ins_WLIs_DLL_R) + @-if exist $(TARG_EX_ins_reals_DLL_R) $(RM) $(TARG_EX_ins_reals_DLL_R) + @-if exist $(OBJ_EX_ins_reals_DLL_R) $(RM) $(OBJ_EX_ins_reals_DLL_R) + @-if exist $(TARG_EX_itr_fmt_DLL_R) $(RM) $(TARG_EX_itr_fmt_DLL_R) + @-if exist $(OBJ_EX_itr_fmt_DLL_R) $(RM) $(OBJ_EX_itr_fmt_DLL_R) + @-if exist $(TARG_EX_ovld2_filt_DLL_R) $(RM) $(TARG_EX_ovld2_filt_DLL_R) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R) $(RM) $(OBJ_EX_ovld2_filt_DLL_R) + @-if exist $(TARG_EX_ovld2_ins_DLL_R) $(RM) $(TARG_EX_ovld2_ins_DLL_R) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R) $(RM) $(OBJ_EX_ovld2_ins_DLL_R) + @-if exist $(TARG_EX_ovld2_sas_DLL_R) $(RM) $(TARG_EX_ovld2_sas_DLL_R) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R) $(RM) $(OBJ_EX_ovld2_sas_DLL_R) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R) $(RM) $(TARG_EX_ovld3_tabs_DLL_R) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R) $(RM) $(TARG_EX_ovld3_rcins_DLL_R) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R) + @-if exist $(TARG_EX_pad_hash_DLL_R) $(RM) $(TARG_EX_pad_hash_DLL_R) + @-if exist $(OBJ_EX_pad_hash_DLL_R) $(RM) $(OBJ_EX_pad_hash_DLL_R) + @-if exist $(TARG_EX_sink_cstr_DLL_R) $(RM) $(TARG_EX_sink_cstr_DLL_R) + @-if exist $(OBJ_EX_sink_cstr_DLL_R) $(RM) $(OBJ_EX_sink_cstr_DLL_R) + @-if exist $(TARG_EX_sink_ODS_DLL_R) $(RM) $(TARG_EX_sink_ODS_DLL_R) + @-if exist $(OBJ_EX_sink_ODS_DLL_R) $(RM) $(OBJ_EX_sink_ODS_DLL_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R_W) $(RM) $(TARG_EX_boolean_DLL_R_W) + @-if exist $(OBJ_EX_boolean_DLL_R_W) $(RM) $(OBJ_EX_boolean_DLL_R_W) + @-if exist $(TARG_EX_character_DLL_R_W) $(RM) $(TARG_EX_character_DLL_R_W) + @-if exist $(OBJ_EX_character_DLL_R_W) $(RM) $(OBJ_EX_character_DLL_R_W) + @-if exist $(TARG_EX_reals_DLL_R_W) $(RM) $(TARG_EX_reals_DLL_R_W) + @-if exist $(OBJ_EX_reals_DLL_R_W) $(RM) $(OBJ_EX_reals_DLL_R_W) + @-if exist $(TARG_EX_voidptrs_DLL_R_W) $(RM) $(TARG_EX_voidptrs_DLL_R_W) + @-if exist $(OBJ_EX_voidptrs_DLL_R_W) $(RM) $(OBJ_EX_voidptrs_DLL_R_W) + @-if exist $(TARG_EX_ff_101_DLL_R_W) $(RM) $(TARG_EX_ff_101_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_DLL_R_W) $(RM) $(OBJ_EX_ff_101_DLL_R_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_R_W) $(RM) $(TARG_EX_ff_101_nons_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_R_W) + @-if exist $(TARG_EX_flush_DLL_R_W) $(RM) $(TARG_EX_flush_DLL_R_W) + @-if exist $(OBJ_EX_flush_DLL_R_W) $(RM) $(OBJ_EX_flush_DLL_R_W) + @-if exist $(TARG_EX_ins_hex_DLL_R_W) $(RM) $(TARG_EX_ins_hex_DLL_R_W) + @-if exist $(OBJ_EX_ins_hex_DLL_R_W) $(RM) $(OBJ_EX_ins_hex_DLL_R_W) + @-if exist $(TARG_EX_ins_integers_DLL_R_W) $(RM) $(TARG_EX_ins_integers_DLL_R_W) + @-if exist $(OBJ_EX_ins_integers_DLL_R_W) $(RM) $(OBJ_EX_ins_integers_DLL_R_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_R_W) $(RM) $(TARG_EX_ins_WLIs_DLL_R_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_R_W) + @-if exist $(TARG_EX_ins_reals_DLL_R_W) $(RM) $(TARG_EX_ins_reals_DLL_R_W) + @-if exist $(OBJ_EX_ins_reals_DLL_R_W) $(RM) $(OBJ_EX_ins_reals_DLL_R_W) + @-if exist $(TARG_EX_itr_fmt_DLL_R_W) $(RM) $(TARG_EX_itr_fmt_DLL_R_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_R_W) $(RM) $(OBJ_EX_itr_fmt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_R_W) $(RM) $(TARG_EX_ovld2_filt_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_R_W) $(RM) $(TARG_EX_ovld2_ins_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_R_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_R_W) $(RM) $(TARG_EX_ovld2_sas_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R_W) + @-if exist $(TARG_EX_pad_hash_DLL_R_W) $(RM) $(TARG_EX_pad_hash_DLL_R_W) + @-if exist $(OBJ_EX_pad_hash_DLL_R_W) $(RM) $(OBJ_EX_pad_hash_DLL_R_W) + @-if exist $(TARG_EX_sink_cstr_DLL_R_W) $(RM) $(TARG_EX_sink_cstr_DLL_R_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_R_W) $(RM) $(OBJ_EX_sink_cstr_DLL_R_W) + @-if exist $(TARG_EX_sink_ODS_DLL_R_W) $(RM) $(TARG_EX_sink_ODS_DLL_R_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_R_W) $(RM) $(OBJ_EX_sink_ODS_DLL_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R) $(RM) $(TARG_EX_boolean_MT_R) + @-if exist $(OBJ_EX_boolean_MT_R) $(RM) $(OBJ_EX_boolean_MT_R) + @-if exist $(TARG_EX_character_MT_R) $(RM) $(TARG_EX_character_MT_R) + @-if exist $(OBJ_EX_character_MT_R) $(RM) $(OBJ_EX_character_MT_R) + @-if exist $(TARG_EX_reals_MT_R) $(RM) $(TARG_EX_reals_MT_R) + @-if exist $(OBJ_EX_reals_MT_R) $(RM) $(OBJ_EX_reals_MT_R) + @-if exist $(TARG_EX_voidptrs_MT_R) $(RM) $(TARG_EX_voidptrs_MT_R) + @-if exist $(OBJ_EX_voidptrs_MT_R) $(RM) $(OBJ_EX_voidptrs_MT_R) + @-if exist $(TARG_EX_ff_101_MT_R) $(RM) $(TARG_EX_ff_101_MT_R) + @-if exist $(OBJ_EX_ff_101_MT_R) $(RM) $(OBJ_EX_ff_101_MT_R) + @-if exist $(TARG_EX_ff_101_nons_MT_R) $(RM) $(TARG_EX_ff_101_nons_MT_R) + @-if exist $(OBJ_EX_ff_101_nons_MT_R) $(RM) $(OBJ_EX_ff_101_nons_MT_R) + @-if exist $(TARG_EX_flush_MT_R) $(RM) $(TARG_EX_flush_MT_R) + @-if exist $(OBJ_EX_flush_MT_R) $(RM) $(OBJ_EX_flush_MT_R) + @-if exist $(TARG_EX_ins_hex_MT_R) $(RM) $(TARG_EX_ins_hex_MT_R) + @-if exist $(OBJ_EX_ins_hex_MT_R) $(RM) $(OBJ_EX_ins_hex_MT_R) + @-if exist $(TARG_EX_ins_integers_MT_R) $(RM) $(TARG_EX_ins_integers_MT_R) + @-if exist $(OBJ_EX_ins_integers_MT_R) $(RM) $(OBJ_EX_ins_integers_MT_R) + @-if exist $(TARG_EX_ins_WLIs_MT_R) $(RM) $(TARG_EX_ins_WLIs_MT_R) + @-if exist $(OBJ_EX_ins_WLIs_MT_R) $(RM) $(OBJ_EX_ins_WLIs_MT_R) + @-if exist $(TARG_EX_ins_reals_MT_R) $(RM) $(TARG_EX_ins_reals_MT_R) + @-if exist $(OBJ_EX_ins_reals_MT_R) $(RM) $(OBJ_EX_ins_reals_MT_R) + @-if exist $(TARG_EX_itr_fmt_MT_R) $(RM) $(TARG_EX_itr_fmt_MT_R) + @-if exist $(OBJ_EX_itr_fmt_MT_R) $(RM) $(OBJ_EX_itr_fmt_MT_R) + @-if exist $(TARG_EX_ovld2_filt_MT_R) $(RM) $(TARG_EX_ovld2_filt_MT_R) + @-if exist $(OBJ_EX_ovld2_filt_MT_R) $(RM) $(OBJ_EX_ovld2_filt_MT_R) + @-if exist $(TARG_EX_ovld2_ins_MT_R) $(RM) $(TARG_EX_ovld2_ins_MT_R) + @-if exist $(OBJ_EX_ovld2_ins_MT_R) $(RM) $(OBJ_EX_ovld2_ins_MT_R) + @-if exist $(TARG_EX_ovld2_sas_MT_R) $(RM) $(TARG_EX_ovld2_sas_MT_R) + @-if exist $(OBJ_EX_ovld2_sas_MT_R) $(RM) $(OBJ_EX_ovld2_sas_MT_R) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R) $(RM) $(TARG_EX_ovld3_fpcol_MT_R) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R) + @-if exist $(TARG_EX_ovld3_tabs_MT_R) $(RM) $(TARG_EX_ovld3_tabs_MT_R) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R) $(RM) $(OBJ_EX_ovld3_tabs_MT_R) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R) $(RM) $(TARG_EX_ovld3_svrlg_MT_R) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R) + @-if exist $(TARG_EX_ovld3_rcins_MT_R) $(RM) $(TARG_EX_ovld3_rcins_MT_R) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R) $(RM) $(OBJ_EX_ovld3_rcins_MT_R) + @-if exist $(TARG_EX_pad_hash_MT_R) $(RM) $(TARG_EX_pad_hash_MT_R) + @-if exist $(OBJ_EX_pad_hash_MT_R) $(RM) $(OBJ_EX_pad_hash_MT_R) + @-if exist $(TARG_EX_sink_cstr_MT_R) $(RM) $(TARG_EX_sink_cstr_MT_R) + @-if exist $(OBJ_EX_sink_cstr_MT_R) $(RM) $(OBJ_EX_sink_cstr_MT_R) + @-if exist $(TARG_EX_sink_ODS_MT_R) $(RM) $(TARG_EX_sink_ODS_MT_R) + @-if exist $(OBJ_EX_sink_ODS_MT_R) $(RM) $(OBJ_EX_sink_ODS_MT_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R_W) $(RM) $(TARG_EX_boolean_MT_R_W) + @-if exist $(OBJ_EX_boolean_MT_R_W) $(RM) $(OBJ_EX_boolean_MT_R_W) + @-if exist $(TARG_EX_character_MT_R_W) $(RM) $(TARG_EX_character_MT_R_W) + @-if exist $(OBJ_EX_character_MT_R_W) $(RM) $(OBJ_EX_character_MT_R_W) + @-if exist $(TARG_EX_reals_MT_R_W) $(RM) $(TARG_EX_reals_MT_R_W) + @-if exist $(OBJ_EX_reals_MT_R_W) $(RM) $(OBJ_EX_reals_MT_R_W) + @-if exist $(TARG_EX_voidptrs_MT_R_W) $(RM) $(TARG_EX_voidptrs_MT_R_W) + @-if exist $(OBJ_EX_voidptrs_MT_R_W) $(RM) $(OBJ_EX_voidptrs_MT_R_W) + @-if exist $(TARG_EX_ff_101_MT_R_W) $(RM) $(TARG_EX_ff_101_MT_R_W) + @-if exist $(OBJ_EX_ff_101_MT_R_W) $(RM) $(OBJ_EX_ff_101_MT_R_W) + @-if exist $(TARG_EX_ff_101_nons_MT_R_W) $(RM) $(TARG_EX_ff_101_nons_MT_R_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_R_W) $(RM) $(OBJ_EX_ff_101_nons_MT_R_W) + @-if exist $(TARG_EX_flush_MT_R_W) $(RM) $(TARG_EX_flush_MT_R_W) + @-if exist $(OBJ_EX_flush_MT_R_W) $(RM) $(OBJ_EX_flush_MT_R_W) + @-if exist $(TARG_EX_ins_hex_MT_R_W) $(RM) $(TARG_EX_ins_hex_MT_R_W) + @-if exist $(OBJ_EX_ins_hex_MT_R_W) $(RM) $(OBJ_EX_ins_hex_MT_R_W) + @-if exist $(TARG_EX_ins_integers_MT_R_W) $(RM) $(TARG_EX_ins_integers_MT_R_W) + @-if exist $(OBJ_EX_ins_integers_MT_R_W) $(RM) $(OBJ_EX_ins_integers_MT_R_W) + @-if exist $(TARG_EX_ins_WLIs_MT_R_W) $(RM) $(TARG_EX_ins_WLIs_MT_R_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_R_W) $(RM) $(OBJ_EX_ins_WLIs_MT_R_W) + @-if exist $(TARG_EX_ins_reals_MT_R_W) $(RM) $(TARG_EX_ins_reals_MT_R_W) + @-if exist $(OBJ_EX_ins_reals_MT_R_W) $(RM) $(OBJ_EX_ins_reals_MT_R_W) + @-if exist $(TARG_EX_itr_fmt_MT_R_W) $(RM) $(TARG_EX_itr_fmt_MT_R_W) + @-if exist $(OBJ_EX_itr_fmt_MT_R_W) $(RM) $(OBJ_EX_itr_fmt_MT_R_W) + @-if exist $(TARG_EX_ovld2_filt_MT_R_W) $(RM) $(TARG_EX_ovld2_filt_MT_R_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_R_W) $(RM) $(OBJ_EX_ovld2_filt_MT_R_W) + @-if exist $(TARG_EX_ovld2_ins_MT_R_W) $(RM) $(TARG_EX_ovld2_ins_MT_R_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_R_W) $(RM) $(OBJ_EX_ovld2_ins_MT_R_W) + @-if exist $(TARG_EX_ovld2_sas_MT_R_W) $(RM) $(TARG_EX_ovld2_sas_MT_R_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_R_W) $(RM) $(OBJ_EX_ovld2_sas_MT_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_R_W) $(RM) $(TARG_EX_ovld3_tabs_MT_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_R_W) $(RM) $(TARG_EX_ovld3_rcins_MT_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_R_W) + @-if exist $(TARG_EX_pad_hash_MT_R_W) $(RM) $(TARG_EX_pad_hash_MT_R_W) + @-if exist $(OBJ_EX_pad_hash_MT_R_W) $(RM) $(OBJ_EX_pad_hash_MT_R_W) + @-if exist $(TARG_EX_sink_cstr_MT_R_W) $(RM) $(TARG_EX_sink_cstr_MT_R_W) + @-if exist $(OBJ_EX_sink_cstr_MT_R_W) $(RM) $(OBJ_EX_sink_cstr_MT_R_W) + @-if exist $(TARG_EX_sink_ODS_MT_R_W) $(RM) $(TARG_EX_sink_ODS_MT_R_W) + @-if exist $(OBJ_EX_sink_ODS_MT_R_W) $(RM) $(OBJ_EX_sink_ODS_MT_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + +clean.samples: + @echo cleaning Sample programs ... + +clean.test.component: + @echo cleaning Test::Component files ... + @-if exist $(TARG_TEST_COMP_file_MT_D) $(RM) $(TARG_TEST_COMP_file_MT_D) + @-if exist $(OBJ_TEST_COMP_file_MT_D) $(RM) $(OBJ_TEST_COMP_file_MT_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D) $(RM) $(TARG_TEST_COMP_ins_int_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D) $(RM) $(TARG_TEST_COMP_null_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D) $(RM) $(TARG_TEST_COMP_write_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D) + @-if exist $(TARG_TEST_COMP_x_1_MT_D) $(RM) $(TARG_TEST_COMP_x_1_MT_D) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D) $(RM) $(OBJ_TEST_COMP_x_1_MT_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_D_W) $(RM) $(TARG_TEST_COMP_file_MT_D_W) + @-if exist $(OBJ_TEST_COMP_file_MT_D_W) $(RM) $(OBJ_TEST_COMP_file_MT_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_D_W) $(RM) $(TARG_TEST_COMP_x_1_MT_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D) $(RM) $(TARG_TEST_COMP_file_DLL_D) + @-if exist $(OBJ_TEST_COMP_file_DLL_D) $(RM) $(OBJ_TEST_COMP_file_DLL_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D) $(RM) $(TARG_TEST_COMP_x_1_DLL_D) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D_W) $(RM) $(TARG_TEST_COMP_file_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_D_W) $(RM) $(OBJ_TEST_COMP_file_DLL_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R) $(RM) $(TARG_TEST_COMP_file_DLL_R) + @-if exist $(OBJ_TEST_COMP_file_DLL_R) $(RM) $(OBJ_TEST_COMP_file_DLL_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R) $(RM) $(TARG_TEST_COMP_x_1_DLL_R) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R_W) $(RM) $(TARG_TEST_COMP_file_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_R_W) $(RM) $(OBJ_TEST_COMP_file_DLL_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R) $(RM) $(TARG_TEST_COMP_file_MT_R) + @-if exist $(OBJ_TEST_COMP_file_MT_R) $(RM) $(OBJ_TEST_COMP_file_MT_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R) $(RM) $(TARG_TEST_COMP_ins_int_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R) $(RM) $(TARG_TEST_COMP_null_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R) $(RM) $(TARG_TEST_COMP_write_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R) + @-if exist $(TARG_TEST_COMP_x_1_MT_R) $(RM) $(TARG_TEST_COMP_x_1_MT_R) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R) $(RM) $(OBJ_TEST_COMP_x_1_MT_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R_W) $(RM) $(TARG_TEST_COMP_file_MT_R_W) + @-if exist $(OBJ_TEST_COMP_file_MT_R_W) $(RM) $(OBJ_TEST_COMP_file_MT_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_R_W) $(RM) $(TARG_TEST_COMP_x_1_MT_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + +clean.test.performance: + @echo cleaning Test::Performance files ... + @-if exist $(TARG_TEST_PERF_scen1_MT_D) $(RM) $(TARG_TEST_PERF_scen1_MT_D) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D) $(RM) $(OBJ_TEST_PERF_scen1_MT_D) + @-if exist $(TARG_TEST_PERF_scen2_MT_D) $(RM) $(TARG_TEST_PERF_scen2_MT_D) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D) $(RM) $(OBJ_TEST_PERF_scen2_MT_D) + @-if exist $(TARG_TEST_PERF_scen3_MT_D) $(RM) $(TARG_TEST_PERF_scen3_MT_D) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D) $(RM) $(OBJ_TEST_PERF_scen3_MT_D) + @-if exist $(TARG_TEST_PERF_scen4_MT_D) $(RM) $(TARG_TEST_PERF_scen4_MT_D) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D) $(RM) $(OBJ_TEST_PERF_scen4_MT_D) + @-if exist $(TARG_TEST_PERF_scen5_MT_D) $(RM) $(TARG_TEST_PERF_scen5_MT_D) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D) $(RM) $(OBJ_TEST_PERF_scen5_MT_D) + @-if exist $(TARG_TEST_PERF_scen6_MT_D) $(RM) $(TARG_TEST_PERF_scen6_MT_D) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D) $(RM) $(OBJ_TEST_PERF_scen6_MT_D) + @-if exist $(TARG_TEST_PERF_scen7_MT_D) $(RM) $(TARG_TEST_PERF_scen7_MT_D) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D) $(RM) $(OBJ_TEST_PERF_scen7_MT_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D) $(RM) $(TARG_TEST_PERF_scen8_MT_D) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D) $(RM) $(OBJ_TEST_PERF_scen8_MT_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_D_W) $(RM) $(TARG_TEST_PERF_scen1_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_D_W) $(RM) $(TARG_TEST_PERF_scen2_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_D_W) $(RM) $(TARG_TEST_PERF_scen3_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_D_W) $(RM) $(TARG_TEST_PERF_scen4_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_D_W) $(RM) $(TARG_TEST_PERF_scen5_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_D_W) $(RM) $(TARG_TEST_PERF_scen6_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_D_W) $(RM) $(TARG_TEST_PERF_scen7_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D_W) $(RM) $(TARG_TEST_PERF_scen8_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D) $(RM) $(TARG_TEST_PERF_scen1_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D) $(RM) $(TARG_TEST_PERF_scen2_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D) $(RM) $(TARG_TEST_PERF_scen3_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D) $(RM) $(TARG_TEST_PERF_scen4_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D) $(RM) $(TARG_TEST_PERF_scen5_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D) $(RM) $(TARG_TEST_PERF_scen6_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D) $(RM) $(TARG_TEST_PERF_scen7_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D) $(RM) $(TARG_TEST_PERF_scen8_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R) $(RM) $(TARG_TEST_PERF_scen1_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R) $(RM) $(TARG_TEST_PERF_scen2_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R) $(RM) $(TARG_TEST_PERF_scen3_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R) $(RM) $(TARG_TEST_PERF_scen4_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R) $(RM) $(TARG_TEST_PERF_scen5_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R) $(RM) $(TARG_TEST_PERF_scen6_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R) $(RM) $(TARG_TEST_PERF_scen7_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R) $(RM) $(TARG_TEST_PERF_scen8_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R) $(RM) $(TARG_TEST_PERF_scen1_MT_R) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R) $(RM) $(OBJ_TEST_PERF_scen1_MT_R) + @-if exist $(TARG_TEST_PERF_scen2_MT_R) $(RM) $(TARG_TEST_PERF_scen2_MT_R) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R) $(RM) $(OBJ_TEST_PERF_scen2_MT_R) + @-if exist $(TARG_TEST_PERF_scen3_MT_R) $(RM) $(TARG_TEST_PERF_scen3_MT_R) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R) $(RM) $(OBJ_TEST_PERF_scen3_MT_R) + @-if exist $(TARG_TEST_PERF_scen4_MT_R) $(RM) $(TARG_TEST_PERF_scen4_MT_R) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R) $(RM) $(OBJ_TEST_PERF_scen4_MT_R) + @-if exist $(TARG_TEST_PERF_scen5_MT_R) $(RM) $(TARG_TEST_PERF_scen5_MT_R) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R) $(RM) $(OBJ_TEST_PERF_scen5_MT_R) + @-if exist $(TARG_TEST_PERF_scen6_MT_R) $(RM) $(TARG_TEST_PERF_scen6_MT_R) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R) $(RM) $(OBJ_TEST_PERF_scen6_MT_R) + @-if exist $(TARG_TEST_PERF_scen7_MT_R) $(RM) $(TARG_TEST_PERF_scen7_MT_R) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R) $(RM) $(OBJ_TEST_PERF_scen7_MT_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R) $(RM) $(TARG_TEST_PERF_scen8_MT_R) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R) $(RM) $(OBJ_TEST_PERF_scen8_MT_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R_W) $(RM) $(TARG_TEST_PERF_scen1_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_R_W) $(RM) $(TARG_TEST_PERF_scen2_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_R_W) $(RM) $(TARG_TEST_PERF_scen3_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_R_W) $(RM) $(TARG_TEST_PERF_scen4_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_R_W) $(RM) $(TARG_TEST_PERF_scen5_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_R_W) $(RM) $(TARG_TEST_PERF_scen6_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_R_W) $(RM) $(TARG_TEST_PERF_scen7_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R_W) $(RM) $(TARG_TEST_PERF_scen8_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + +clean.test.scratch: + @echo cleaning Test::Scratch files ... + @-if exist $(TARG_TEST_SCRT_file_MT_D) $(RM) $(TARG_TEST_SCRT_file_MT_D) + @-if exist $(OBJ_TEST_SCRT_file_MT_D) $(RM) $(OBJ_TEST_SCRT_file_MT_D) + @-if exist $(PDB_TEST_SCRT_file_MT_D) $(RM) $(PDB_TEST_SCRT_file_MT_D) + @-if exist $(TARG_TEST_SCRT_speech_MT_D) $(RM) $(TARG_TEST_SCRT_speech_MT_D) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D) $(RM) $(OBJ_TEST_SCRT_speech_MT_D) + @-if exist $(PDB_TEST_SCRT_speech_MT_D) $(RM) $(PDB_TEST_SCRT_speech_MT_D) + @-if exist $(TARG_TEST_SCRT_file_MT_D_W) $(RM) $(TARG_TEST_SCRT_file_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_D_W) $(RM) $(OBJ_TEST_SCRT_file_MT_D_W) + @-if exist $(PDB_TEST_SCRT_file_MT_D_W) $(RM) $(PDB_TEST_SCRT_file_MT_D_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_D_W) $(RM) $(TARG_TEST_SCRT_speech_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_D_W) + @-if exist $(PDB_TEST_SCRT_speech_MT_D_W) $(RM) $(PDB_TEST_SCRT_speech_MT_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_D) $(RM) $(TARG_TEST_SCRT_file_DLL_D) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D) $(RM) $(OBJ_TEST_SCRT_file_DLL_D) + @-if exist $(PDB_TEST_SCRT_file_DLL_D) $(RM) $(PDB_TEST_SCRT_file_DLL_D) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D) $(RM) $(TARG_TEST_SCRT_speech_DLL_D) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D) $(RM) $(PDB_TEST_SCRT_speech_DLL_D) + @-if exist $(TARG_TEST_SCRT_file_DLL_D_W) $(RM) $(TARG_TEST_SCRT_file_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_file_DLL_D_W) $(RM) $(PDB_TEST_SCRT_file_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D_W) $(RM) $(PDB_TEST_SCRT_speech_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_R) $(RM) $(TARG_TEST_SCRT_file_DLL_R) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R) $(RM) $(OBJ_TEST_SCRT_file_DLL_R) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R) $(RM) $(TARG_TEST_SCRT_speech_DLL_R) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R) + @-if exist $(TARG_TEST_SCRT_file_DLL_R_W) $(RM) $(TARG_TEST_SCRT_file_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_file_MT_R) $(RM) $(TARG_TEST_SCRT_file_MT_R) + @-if exist $(OBJ_TEST_SCRT_file_MT_R) $(RM) $(OBJ_TEST_SCRT_file_MT_R) + @-if exist $(TARG_TEST_SCRT_speech_MT_R) $(RM) $(TARG_TEST_SCRT_speech_MT_R) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R) $(RM) $(OBJ_TEST_SCRT_speech_MT_R) + @-if exist $(TARG_TEST_SCRT_file_MT_R_W) $(RM) $(TARG_TEST_SCRT_file_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_R_W) $(RM) $(OBJ_TEST_SCRT_file_MT_R_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_R_W) $(RM) $(TARG_TEST_SCRT_speech_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_R_W) + +clean.test.unit: + @echo cleaning Test::Unit files ... + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D) $(RM) $(TARG_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R) $(RM) $(TARG_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + +clean.test: clean.test.unit clean.test.component clean.test.scratch clean.test.performance + +clean: clean.libs clean.bitbucket clean.examples clean.samples clean.test + +clean.all: clean + + +############################################################################ +# build targets + +################################################## +# Libraries + +######################################## +# Main library - 'fastformat.X.core.a' + +$(LIB_CORE_MT_D): $(OBJ_CORE_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D) + +$(OBJ_cr_api_MT_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_D_W): $(OBJ_CORE_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D_W) + +$(OBJ_cr_api_MT_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D): $(OBJ_CORE_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D) + +$(OBJ_cr_api_DLL_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D_W): $(OBJ_CORE_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D_W) + +$(OBJ_cr_api_DLL_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R): $(OBJ_CORE_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R) + +$(OBJ_cr_api_DLL_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R_W): $(OBJ_CORE_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R_W) + +$(OBJ_cr_api_DLL_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R): $(OBJ_CORE_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R) + +$(OBJ_cr_api_MT_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R_W): $(OBJ_CORE_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R_W) + +$(OBJ_cr_api_MT_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +######################################## +# Loki.SafeFormat library - 'loki.safefmt.X.core.a' + +!ifdef LOKI + +$(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp: $(PROJ_MAKEFILE_NAME) + @-if not exist $(LOKI_SFMT_SRC_DIR)\NUL mkdir $(LOKI_SFMT_SRC_DIR) + echo #include "../src/SafeFormat.cpp" > $@ + +$(LIB_LOKI_SFMT_MT_D): $(OBJ_LOKI_SFMT_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D) + +$(OBJ_lok_sfmt_MT_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_LOKI_SFMT_INCLUDES_MT_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_D_W): $(OBJ_LOKI_SFMT_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D_W) + +$(OBJ_lok_sfmt_MT_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D): $(OBJ_LOKI_SFMT_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D) + +$(OBJ_lok_sfmt_DLL_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D_W): $(OBJ_LOKI_SFMT_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D_W) + +$(OBJ_lok_sfmt_DLL_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R): $(OBJ_LOKI_SFMT_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R) + +$(OBJ_lok_sfmt_DLL_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R_W): $(OBJ_LOKI_SFMT_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R_W) + +$(OBJ_lok_sfmt_DLL_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R): $(OBJ_LOKI_SFMT_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R) + +$(OBJ_lok_sfmt_MT_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_LOKI_SFMT_INCLUDES_MT_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R_W): $(OBJ_LOKI_SFMT_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R_W) + +$(OBJ_lok_sfmt_MT_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +!endif # LOKI +######################################## +# shwild library - 'shwild.X.core.a' + +$(LIB_SHWILD_MT_D): $(OBJ_SHWILD_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D) + +$(OBJ_shw_api_MT_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_D_W): $(OBJ_SHWILD_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D_W) + +$(OBJ_shw_api_MT_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D): $(OBJ_SHWILD_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D) + +$(OBJ_shw_api_DLL_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D_W): $(OBJ_SHWILD_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D_W) + +$(OBJ_shw_api_DLL_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R): $(OBJ_SHWILD_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R) + +$(OBJ_shw_api_DLL_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R_W): $(OBJ_SHWILD_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R_W) + +$(OBJ_shw_api_DLL_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R): $(OBJ_SHWILD_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R) + +$(OBJ_shw_api_MT_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R_W): $(OBJ_SHWILD_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R_W) + +$(OBJ_shw_api_MT_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +######################################## +# xTests library - 'xtests.X.ff.core.a' + +$(LIB_XTESTS_MT_D): $(OBJ_XTESTS_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D) + +$(OBJ_xts_core_MT_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_XTESTS_INCLUDES_MT_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_D_W): $(OBJ_XTESTS_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D_W) + +$(OBJ_xts_core_MT_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_XTESTS_INCLUDES_MT_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D): $(OBJ_XTESTS_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D) + +$(OBJ_xts_core_DLL_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_XTESTS_INCLUDES_DLL_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D_W): $(OBJ_XTESTS_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D_W) + +$(OBJ_xts_core_DLL_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_XTESTS_INCLUDES_DLL_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R): $(OBJ_XTESTS_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R) + +$(OBJ_xts_core_DLL_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_XTESTS_INCLUDES_DLL_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R_W): $(OBJ_XTESTS_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R_W) + +$(OBJ_xts_core_DLL_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_XTESTS_INCLUDES_DLL_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R): $(OBJ_XTESTS_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R) + +$(OBJ_xts_core_MT_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_XTESTS_INCLUDES_MT_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R_W): $(OBJ_XTESTS_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R_W) + +$(OBJ_xts_core_MT_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_XTESTS_INCLUDES_MT_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +################################################## +# Examples: BitBucket + +$(BITBUCKET_SRC_DIR)\bitbucket.cpp: #$(PROJ_MAKEFILE_NAME) + @-if not exist $(BITBUCKET_SRC_DIR)\NUL mkdir $(BITBUCKET_SRC_DIR) + echo #include "stdio.h" > $@ + echo int main(int, char**) { int ch; for(; EOF != (ch = getchar());) { ; } return 0; } >> $@ + +$(TARG_BITBUCKET_MT_D): $(OBJ_BITBUCKET_MT_D) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_BITBUCKET_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_BITBUCKET_MT_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_D_W): $(OBJ_BITBUCKET_MT_D_W) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_BITBUCKET_MT_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D): $(OBJ_BITBUCKET_DLL_D) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_BITBUCKET_DLL_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D_W): $(OBJ_BITBUCKET_DLL_D_W) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_BITBUCKET_DLL_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R): $(OBJ_BITBUCKET_DLL_R) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_BITBUCKET_DLL_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R_W): $(OBJ_BITBUCKET_DLL_R_W) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_BITBUCKET_DLL_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R): $(OBJ_BITBUCKET_MT_R) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_BITBUCKET_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_BITBUCKET_MT_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R_W): $(OBJ_BITBUCKET_MT_R_W) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_BITBUCKET_MT_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + + +################################################## +# Examples + +$(TARG_EX_boolean_MT_D): \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_boolean_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_D_W): \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_boolean_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D): \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_boolean_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D_W): \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_boolean_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R): \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_boolean_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R_W): \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_boolean_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R): \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_boolean_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R_W): \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_boolean_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_character_MT_D): \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_character_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_D_W): \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_character_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D): \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_character_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D_W): \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_character_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R): \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_character_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R_W): \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_character_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R): \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_character_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R_W): \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_character_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_reals_MT_D): \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_D_W): \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_reals_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D): \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D_W): \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_reals_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R): \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R_W): \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_reals_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R): \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R_W): \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_reals_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_voidptrs_MT_D): \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_voidptrs_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_D_W): \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_voidptrs_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D): \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_voidptrs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D_W): \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_voidptrs_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R): \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_voidptrs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R_W): \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_voidptrs_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R): \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_voidptrs_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R_W): \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_voidptrs_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_ff_101_MT_D): \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_D): \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_R): \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_MT_R): \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_nons_MT_D): \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_nons_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_D): \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_nons_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_R): \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_nons_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_MT_R): \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_nons_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_flush_MT_D): \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_flush_MT_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_D): \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_flush_DLL_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_R): \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_flush_DLL_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_MT_R): \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_flush_MT_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_ins_hex_MT_D): \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_hex_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_D): \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_hex_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_R): \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_hex_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_MT_R): \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_hex_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_integers_MT_D): \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_integers_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_D): \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_integers_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_R): \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_integers_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_MT_R): \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_integers_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_reals_MT_D): \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_D): \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_R): \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_MT_R): \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + + +$(TARG_EX_ins_WLIs_MT_D): \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_WLIs_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_D): \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_WLIs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_R): \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_WLIs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_MT_R): \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_WLIs_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + + +$(TARG_EX_itr_fmt_MT_D): \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_itr_fmt_MT_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_D_W): \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_itr_fmt_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D): \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_itr_fmt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D_W): \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_itr_fmt_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R): \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_itr_fmt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R_W): \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_itr_fmt_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R): \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_itr_fmt_MT_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R_W): \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARG_BITBUCKET_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_itr_fmt_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_ovld2_filt_MT_D): \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_filt_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_D): \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_filt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_R): \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_filt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_MT_R): \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_filt_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + + +$(TARG_EX_ovld2_ins_MT_D): \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_ins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_D): \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_ins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_R): \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_ins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_MT_R): \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_ins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_sas_MT_D): \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_sas_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_D): \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_sas_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_R): \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_sas_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_MT_R): \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_sas_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld3_fpcol_MT_D): \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_fpcol_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_D): \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_fpcol_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_R): \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_fpcol_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_MT_R): \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_fpcol_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_tabs_MT_D): \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_tabs_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_D): \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_tabs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_R): \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_tabs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_MT_R): \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_tabs_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_svrlg_MT_D): \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_svrlg_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_D): \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_svrlg_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_R): \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_svrlg_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_MT_R): \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_svrlg_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_rcins_MT_D): \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_rcins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_D): \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_rcins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_R): \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_rcins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_MT_R): \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_rcins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_pad_hash_MT_D): \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_pad_hash_MT_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_D): \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_pad_hash_DLL_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_R): \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_pad_hash_DLL_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_MT_R): \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_pad_hash_MT_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_sink_cstr_MT_D): \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_cstr_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_D): \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_cstr_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_R): \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_cstr_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_MT_R): \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_cstr_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_ODS_MT_D): \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_ODS_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_D_W): \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_sink_ODS_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D): \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_ODS_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D_W): \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_sink_ODS_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R): \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_ODS_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R_W): \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_sink_ODS_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R): \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_ODS_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R_W): \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_sink_ODS_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +################################################## +# Tests + +############################## +# Component Tests + +$(TARG_TEST_COMP_snk_a_b_MT_D): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_a_b_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_a_b_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_file_MT_D): \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_file_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_D_W): \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_file_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D): \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_file_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D_W): \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_file_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R): \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_file_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R_W): \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_file_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R): \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_file_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R_W): \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_file_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_fmt_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_fmt_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_write_sink_MT_D): \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_write_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_D_W): \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_write_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D): \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_write_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_write_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R): \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_write_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_write_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R): \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_write_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R_W): \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_write_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_x_1_MT_D): \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_x_1_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_D_W): \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_x_1_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D): \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_x_1_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D_W): \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_x_1_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R): \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_x_1_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R_W): \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_x_1_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R): \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_x_1_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R_W): \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_x_1_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_null_sink_MT_D): \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_null_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_D_W): \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_null_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D): \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_null_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_null_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R): \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_null_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_null_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R): \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_null_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R_W): \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_null_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D): \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_hex_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_hex_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_hex_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_hex_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R): \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_hex_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_hex_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_int_MT_D): \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_int_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_D_W): \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_int_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D): \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_int_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_int_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R): \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_int_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_int_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R): \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_int_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R_W): \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_int_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_real_MT_D): \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_real_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_D_W): \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_real_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D): \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_real_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_real_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R): \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_real_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_real_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R): \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_real_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R_W): \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_real_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_itr_fmt_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_itr_fmt_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_ostm_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_ostm_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +############################## +# Performance Tests + +$(TARG_TEST_PERF_scen1_MT_D): \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen1_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_D_W): \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen1_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D): \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen1_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D_W): \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen1_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R): \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen1_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R_W): \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen1_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R): \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen1_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R_W): \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen1_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen2_MT_D): \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen2_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_D_W): \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen2_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D): \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen2_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D_W): \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen2_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R): \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen2_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R_W): \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen2_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R): \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen2_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R_W): \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen2_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen3_MT_D): \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen3_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_D_W): \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen3_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D): \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen3_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D_W): \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen3_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R): \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen3_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R_W): \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen3_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R): \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen3_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R_W): \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen3_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen4_MT_D): \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen4_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_D_W): \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen4_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D): \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen4_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D_W): \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen4_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R): \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen4_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R_W): \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen4_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R): \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen4_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R_W): \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen4_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen5_MT_D): \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen5_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_D_W): \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen5_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D): \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen5_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D_W): \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen5_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R): \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen5_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R_W): \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen5_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R): \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen5_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R_W): \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen5_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen6_MT_D): \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen6_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_D_W): \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen6_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D): \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen6_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D_W): \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen6_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R): \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen6_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R_W): \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen6_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R): \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen6_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R_W): \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen6_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen7_MT_D): \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen7_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_D_W): \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen7_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D): \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen7_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D_W): \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen7_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R): \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen7_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R_W): \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen7_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R): \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen7_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R_W): \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen7_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +!ifdef BOOST +$(TARG_TEST_PERF_scen8_MT_D): \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen8_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_D_W): \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen8_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D): \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen8_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D_W): \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen8_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R): \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen8_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R_W): \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen8_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R): \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen8_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R_W): \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen8_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +!endif # BOOST +############################## +# Scratch Tests + +$(TARG_TEST_SCRT_file_MT_D): \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_file_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_D_W): \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_file_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D): \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_file_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D_W): \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_file_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R): \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_file_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R_W): \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_file_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R): \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_file_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R_W): \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_file_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_speech_MT_D): \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_speech_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_D_W): \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_speech_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D): \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_speech_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D_W): \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_speech_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R): \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_speech_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R_W): \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_speech_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R): \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_speech_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R_W): \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_speech_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +############################## +# Unit Tests + + +$(TARG_TEST_UNIT_api_acsllen_MT_D): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_crlf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_crlf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_init_MT_D): \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_init_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_D_W): \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_init_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D): \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_init_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_init_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R): \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_init_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_init_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R): \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_init_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R_W): \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_init_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ods_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ods_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_ch_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_ch_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D): \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_i_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_i_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_i_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_i_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R): \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_i_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_i_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_shim_fi_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_shim_fi_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D): \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_null_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_null_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_null_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_null_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R): \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_null_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_null_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +############################### end of file ################################ diff --git a/build/vc11/makefile b/build/vc11/makefile new file mode 100644 index 0000000..4cf059a --- /dev/null +++ b/build/vc11/makefile @@ -0,0 +1,12423 @@ +############################################################################ +# File: makefile +# +# Purpose: Makefile for the FastFormat project, for the Visual C++ 11 (Win32) +# compiler, on Win32 +# +# Created: 22nd December 2007 +# Updated: 25th September 2015 +# +############################################################################ + + +############################################################################ +# Environment check +# + +!ifndef STLSOFT +!error STLSOFT must be defined +!endif # !STLSOFT + +############################################################################ +# Symbols + +######################################## +# Project & compiler version + +COMP_TAG = vc11 +ARCH_TAG = +OS_TAG = +PROJ_TAG = fastformat +PROJ_VER_MAJOR = 0 +PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) + +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) + +SHWILD_VER_MAJOR = 0 +SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) + +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + +XTESTS_VER_MAJOR = 0 +XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) + +PROJ_MAKEFILE_NAME = makefile + +######################################## +# Testing verbosity + +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY + +######################################## +# Directories + +PROJ_BASE_DIR = ..\.. +PROJ_BIN_DIR = $(PROJ_BASE_DIR)\bin +PROJ_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +PROJ_LIB_DIR = $(PROJ_BASE_DIR)\lib +PROJ_OBJ_DIR = . +PROJ_SRC_DIR = $(PROJ_BASE_DIR)\src +PROJ_EXAMPLES_DIR = $(PROJ_BASE_DIR)\examples +PROJ_SAMPLES_DIR = $(PROJ_BASE_DIR)\samples +PROJ_BUNDLES_DIR = $(PROJ_BASE_DIR)\test\data\bundles +PROJ_TEST_ROOT_DIR = $(PROJ_BASE_DIR)\test +PROJ_TEST_COMPONENT_DIR = $(PROJ_TEST_ROOT_DIR)\component +PROJ_TEST_PERFORMANCE_DIR = $(PROJ_TEST_ROOT_DIR)\performance +PROJ_TEST_SCRATCH_DIR = $(PROJ_TEST_ROOT_DIR)\scratch +PROJ_TEST_UNIT_DIR = $(PROJ_TEST_ROOT_DIR)\unit + +BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket + +LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt + +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR + +######################################## +# tools + +CC = cl +CPPC = cl +AR = lib +LD = link +RM = del /f +CP = copy +RC = rc + + +# Lib + +LIBS_LEADER = + +# lib paths + +ENV_LIBPATHS = +COMMON_LIBPATHS = + + +LIBPATHS=\ +$(LIBS_LEADER)\ +$(COMMON_LIBPATHS)\ +$(ENV_LIBPATHS)\ +\ + + +# lib names + +TARGET_LIB_MT_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + + +# #defines + +COMMON_DEFS = -DWIN32 + +CUSTOM_DEFS = + +# includes + +COMMON_INCLUDES = + + + +# CPPC flags + +CPPC_F_NOLOGO = -nologo +CPPC_F_COMPILE_ONLY = -c +CPPC_F_WARNING_MAX = -W4 -wd4800 +CPPC_F_WARNING_ERR = -WX +CPPC_F_EXCEPTIONS_ON = -EHsc +CPPC_F_EXCEPTIONS_OFF = -wd4530 +CPPC_F_EXCEPTIONS = $(CPPC_F_EXCEPTIONS_ON) +CPPC_F_RTTI_ON = -GR +CPPC_F_RTTI_OFF = -GR- +CPPC_F_RTTI = $(CPPC_F_RTTI_ON) +CPPC_F_MINIMUM_SIZE = -O1 +CPPC_F_MAXIMUM_SPEED = -O2 -Ox + +CPPC_FLAGS_BASE =\ + $(CPPC_F_NOLOGO)\ + $(CPPC_F_COMPILE_ONLY)\ + $(CPPC_F_WARNING_MAX)\ + $(CPPC_F_WARNING_ERR)\ + $(CPPC_F_EXCEPTIONS)\ + $(CPPC_F_RTTI)\ + \ + +CPPC_FLAGS_MT_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + + +# CPPC defines + +!ifdef FASTFORMAT_NO_NAG +NO_NAG_DEFS = -DFASTFORMAT_NO_VERSION_NAG=1 +!else # ? FASTFORMAT_NO_NAG +NO_NAG_DEFS = +!endif # FASTFORMAT_NO_NAG + +CPPC_DEFS_BASE =\ + $(COMMON_DEFS)\ + $(CUSTOM_DEFS)\ + $(NO_NAG_DEFS)\ + \ + +!ifdef BOOST +DEFINE_BOOST_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_BOOST +!else # BOOST +DEFINE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +DEFINE_LOKI_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_LOKI +!else # LOKI +DEFINE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_DEFS_MT_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + + + +# CPPC includes + +!ifdef STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = -I"$(STLSOFT_1_10)/include" +!else # STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = +!endif # STLSOFT_1_10 + +CPPC_INCLUDES_BASE=\ + \ + -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ + $(STLSOFT_1_10_INCLUDE)\ + -I$(STLSOFT)\include\ + $(COMMON_INCLUDES)\ + \ + +CPPC_CORE_INCLUDES_BASE=\ + -I$(PROJ_SRC_DIR)\ + $(CPPC_INCLUDES_BASE)\ + \ + +!ifdef BOOST +INCLUDE_BOOST_FOR_PERFTEST = -I"$(BOOST)" +!else # BOOST +INCLUDE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +INCLUDE_LOKI_FOR_PERFTEST = -I"$(LOKI)/include" +!else # LOKI +INCLUDE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_INCLUDES_MT_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + + + +CPPC_SHWILD_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + + +CPPC_XTESTS_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + + + +# CPPC args (= flags + defines + includes) + +CPPC_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D) +CPPC_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D_W) +CPPC_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D) +CPPC_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D_W) +CPPC_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R) +CPPC_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R_W) +CPPC_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R) +CPPC_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R_W) + +CPPC_CORE_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) + + + + +# AR Args + +AR_ARGS = -nologo + + +# LD flags + +LD_F_NOLOGO = -nologo + +LD_FLAGS_BASE =\ + $(LD_F_NOLOGO)\ + \ + +LD_FLAGS_MT_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R_W =\ + $(LD_FLAGS_BASE)\ + \ + + +# LD includes + +LD_INCLUDES_BASE =\ + $(LIBPATHS)\ + \ + +LD_INCLUDES_MT_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + + +LD_ARGS = + +LD_F_NOLOGO = -nologo + +# LD args + +LD_ARGS_MT_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) + +############################################################################ +# Headers + +CORE_HEADERS=\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.h\ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\ff.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\implicit_link.h\ + $(PROJ_INCLUDE_DIR)\fastformat\init_codes.h\ + $(PROJ_INCLUDE_DIR)\fastformat\exceptions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\openrj_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\properties_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\winini_bundle.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\format\standard_flags.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_missing_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\mismatched_arguments_scope_base.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\integer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\real.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_e.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_f.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_g.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_i.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\internal\format_element.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\stlsoft.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\string_encoding.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\threading.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\initialiser.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\api_functions.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\helper_functions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\quality\contract.h\ + $(PROJ_INCLUDE_DIR)\fastformat\quality\cover.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\shims\action\fmt_slices\generic_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\fast_integers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\reals.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\void_pointers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ACE_CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\auto_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CComBSTR.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\char_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\c_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\FILE.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\null.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ostream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\OutputDebugString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\shim_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\speech.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\stringstream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\string_array.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\vectored_file.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.first_include.h\ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.last_include.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\util\memory\auto_buffer_selector.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\sinks\helpers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\string\snprintf.h\ + \ + +SHWILD_HEADERS=\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)\xcover\xcover.h\ + $(PROJ_INCLUDE_DIR)\xcover\internal\reporter.h\ + \ + +XTESTS_HEADERS=\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ + \ + +############################################################################ +# Names + +############################################################ +# Targets + +######################################## +# Libraries + +# fastformat +LIB_CORE_MT_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_CORE_MT_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_CORE_DLL_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_CORE_DLL_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_CORE_DLL_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.lib +LIB_CORE_DLL_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_CORE_MT_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.lib +LIB_CORE_MT_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# Loki.SafeFormat - performance testing only +!ifdef LOKI +LIB_LOKI_SFMT_MT_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_LOKI_SFMT_MT_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_LOKI_SFMT_DLL_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_LOKI_SFMT_DLL_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_LOKI_SFMT_DLL_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_LOKI_SFMT_DLL_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_LOKI_SFMT_MT_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_LOKI_SFMT_MT_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib +!else # LOKI +LIB_LOKI_SFMT_MT_D = +LIB_LOKI_SFMT_MT_D_W = +LIB_LOKI_SFMT_DLL_D = +LIB_LOKI_SFMT_DLL_D_W = +LIB_LOKI_SFMT_DLL_R = +LIB_LOKI_SFMT_DLL_R_W = +LIB_LOKI_SFMT_MT_R = +LIB_LOKI_SFMT_MT_R_W = +!endif # LOKI + +# shwild - testing only +LIB_SHWILD_MT_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_SHWILD_MT_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_SHWILD_DLL_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_SHWILD_DLL_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_SHWILD_DLL_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_SHWILD_DLL_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_SHWILD_MT_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_SHWILD_MT_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# xtests - unit/component testing only +LIB_XTESTS_MT_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_XTESTS_MT_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_XTESTS_DLL_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_XTESTS_DLL_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_XTESTS_DLL_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_XTESTS_DLL_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_XTESTS_MT_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_XTESTS_MT_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +######################################## +# Examples + +TARG_BITBUCKET_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_BITBUCKET_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_BITBUCKET_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_BITBUCKET_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_BITBUCKET_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.exe +TARG_BITBUCKET_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_BITBUCKET_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.exe +TARG_BITBUCKET_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +TARG_EX_boolean_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_character_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_voidptrs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_nons_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_flush_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_integers_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_WLIs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_filt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_ins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_sas_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_fpcol_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_tabs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_svrlg_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_rcins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_pad_hash_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_cstr_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_ODS_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.debug.exe + +TARG_EX_boolean_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_character_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_reals_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_voidptrs_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_sink_ODS_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe + +TARG_EX_boolean_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_character_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_voidptrs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_nons_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_flush_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_integers_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_WLIs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_filt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_ins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_sas_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_fpcol_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_tabs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_svrlg_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_rcins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_pad_hash_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_cstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_ODS_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.debug.exe + +TARG_EX_boolean_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_character_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_reals_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_voidptrs_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_sink_ODS_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe + +TARG_EX_boolean_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_character_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_voidptrs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_nons_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_flush_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_integers_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_WLIs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_filt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_ins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_sas_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_fpcol_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_tabs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_svrlg_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_rcins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_pad_hash_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_cstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_ODS_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.exe + +TARG_EX_boolean_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_character_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_reals_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_voidptrs_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_sink_ODS_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.exe + +TARG_EX_boolean_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_character_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_voidptrs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_nons_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_flush_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_integers_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_WLIs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_filt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_ins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_sas_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_fpcol_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_tabs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_svrlg_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_rcins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_pad_hash_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_cstr_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_ODS_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.exe + +TARG_EX_boolean_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_character_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_reals_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_voidptrs_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_sink_ODS_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.exe + + +######################################## +# Tests + +############################## +# Component Tests + +TARG_TEST_COMP_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_x_1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_x_1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_int_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_real_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_null_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_write_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_x_1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_int_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_real_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_null_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_write_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_x_1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_fmt_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_int_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_real_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_null_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_write_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_x_1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_hex_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_int_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_real_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_null_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_write_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_x_1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Performance Tests + +TARG_TEST_PERF_scen1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen2_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen3_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen4_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen5_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen6_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen7_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen2_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen3_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen4_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen5_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen6_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen7_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen2_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen3_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen4_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen5_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen6_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen7_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen2_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen3_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen4_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen5_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen6_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen7_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen2_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen3_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen4_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen5_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen6_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen7_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen2_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen3_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen4_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen5_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen6_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen7_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!endif # BOOST + +############################## +# Scratch Tests + +TARG_TEST_SCRT_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_speech_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_speech_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_speech_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_speech_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_speech_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_SCRT_speech_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Unit Tests + +TARG_TEST_UNIT_api_acsllen_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_init_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_i_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_null_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_init_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_acsllen_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_crlf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_init_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_ch_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_i_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_shim_fi_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_null_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ods_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_init_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_i_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_null_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################################################################ +# Objects + +######################################## +# Libraries + +# fastformat + +OBJ_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.obj +OBJ_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.obj +OBJ_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.obj +OBJ_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.obj +OBJ_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.obj +OBJ_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.obj +PDB_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.pdb +PDB_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.pdb +PDB_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.pdb +PDB_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.pdb +PDB_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.pdb +PDB_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.pdb + +OBJ_CORE_MT_D = \ + $(OBJ_cr_api_MT_D)\ + $(OBJ_cr_fsdhndlrs_MT_D)\ + $(OBJ_cr_fmtcache_MT_D)\ + $(OBJ_cr_initstr_MT_D)\ + $(OBJ_cr_mempool_MT_D)\ + $(OBJ_cr_replacements_MT_D)\ + $(OBJ_cr_snprintf_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_cr_api_MT_D)\ + $(PDB_cr_fsdhndlrs_MT_D)\ + $(PDB_cr_fmtcache_MT_D)\ + $(PDB_cr_initstr_MT_D)\ + $(PDB_cr_mempool_MT_D)\ + $(PDB_cr_replacements_MT_D)\ + $(PDB_cr_snprintf_MT_D)\ + \ + +OBJ_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.obj +OBJ_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.obj +OBJ_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.obj +OBJ_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.obj +OBJ_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.obj +OBJ_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.obj +PDB_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.pdb +PDB_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.pdb +PDB_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.pdb +PDB_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.pdb +PDB_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.pdb +PDB_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.pdb + +OBJ_CORE_MT_D_W = \ + $(OBJ_cr_api_MT_D_W)\ + $(OBJ_cr_fsdhndlrs_MT_D_W)\ + $(OBJ_cr_fmtcache_MT_D_W)\ + $(OBJ_cr_initstr_MT_D_W)\ + $(OBJ_cr_mempool_MT_D_W)\ + $(OBJ_cr_replacements_MT_D_W)\ + $(OBJ_cr_snprintf_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_cr_api_MT_D_W)\ + $(PDB_cr_fsdhndlrs_MT_D_W)\ + $(PDB_cr_fmtcache_MT_D_W)\ + $(PDB_cr_initstr_MT_D_W)\ + $(PDB_cr_mempool_MT_D_W)\ + $(PDB_cr_replacements_MT_D_W)\ + $(PDB_cr_snprintf_MT_D_W)\ + \ + +OBJ_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.obj +OBJ_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.obj +OBJ_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.obj +OBJ_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.obj +OBJ_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.obj +OBJ_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.obj +PDB_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.pdb +PDB_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.pdb +PDB_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.pdb +PDB_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.pdb +PDB_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.pdb +PDB_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.pdb + +OBJ_CORE_DLL_D = \ + $(OBJ_cr_api_DLL_D)\ + $(OBJ_cr_fsdhndlrs_DLL_D)\ + $(OBJ_cr_fmtcache_DLL_D)\ + $(OBJ_cr_initstr_DLL_D)\ + $(OBJ_cr_mempool_DLL_D)\ + $(OBJ_cr_replacements_DLL_D)\ + $(OBJ_cr_snprintf_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_cr_api_DLL_D)\ + $(PDB_cr_fsdhndlrs_DLL_D)\ + $(PDB_cr_fmtcache_DLL_D)\ + $(PDB_cr_initstr_DLL_D)\ + $(PDB_cr_mempool_DLL_D)\ + $(PDB_cr_replacements_DLL_D)\ + $(PDB_cr_snprintf_DLL_D)\ + \ + +OBJ_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.obj +OBJ_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.obj +OBJ_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.obj +OBJ_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.obj +OBJ_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.obj +OBJ_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.obj +PDB_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.pdb +PDB_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.pdb +PDB_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.pdb +PDB_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.pdb +PDB_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.pdb +PDB_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.pdb + +OBJ_CORE_DLL_D_W = \ + $(OBJ_cr_api_DLL_D_W)\ + $(OBJ_cr_fsdhndlrs_DLL_D_W)\ + $(OBJ_cr_fmtcache_DLL_D_W)\ + $(OBJ_cr_initstr_DLL_D_W)\ + $(OBJ_cr_mempool_DLL_D_W)\ + $(OBJ_cr_replacements_DLL_D_W)\ + $(OBJ_cr_snprintf_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_cr_api_DLL_D_W)\ + $(PDB_cr_fsdhndlrs_DLL_D_W)\ + $(PDB_cr_fmtcache_DLL_D_W)\ + $(PDB_cr_initstr_DLL_D_W)\ + $(PDB_cr_mempool_DLL_D_W)\ + $(PDB_cr_replacements_DLL_D_W)\ + $(PDB_cr_snprintf_DLL_D_W)\ + \ + +OBJ_cr_api_DLL_R = $(PROJ_OBJ_DIR)\core.api.dll.obj +OBJ_cr_fsdhndlrs_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.obj +OBJ_cr_fmtcache_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.obj +OBJ_cr_initstr_DLL_R = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.obj +OBJ_cr_mempool_DLL_R = $(PROJ_OBJ_DIR)\core.mempool.dll.obj +OBJ_cr_replacements_DLL_R = $(PROJ_OBJ_DIR)\core.replacements.dll.obj +OBJ_cr_snprintf_DLL_R = $(PROJ_OBJ_DIR)\core.snprintf.dll.obj + +OBJ_CORE_DLL_R = \ + $(OBJ_cr_api_DLL_R)\ + $(OBJ_cr_fsdhndlrs_DLL_R)\ + $(OBJ_cr_fmtcache_DLL_R)\ + $(OBJ_cr_initstr_DLL_R)\ + $(OBJ_cr_mempool_DLL_R)\ + $(OBJ_cr_replacements_DLL_R)\ + $(OBJ_cr_snprintf_DLL_R)\ + \ + +OBJ_cr_api_DLL_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.obj +OBJ_cr_fsdhndlrs_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.obj +OBJ_cr_fmtcache_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.obj +OBJ_cr_initstr_DLL_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.obj +OBJ_cr_mempool_DLL_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.obj +OBJ_cr_replacements_DLL_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.obj +OBJ_cr_snprintf_DLL_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.obj + +OBJ_CORE_DLL_R_W = \ + $(OBJ_cr_api_DLL_R_W)\ + $(OBJ_cr_fsdhndlrs_DLL_R_W)\ + $(OBJ_cr_fmtcache_DLL_R_W)\ + $(OBJ_cr_initstr_DLL_R_W)\ + $(OBJ_cr_mempool_DLL_R_W)\ + $(OBJ_cr_replacements_DLL_R_W)\ + $(OBJ_cr_snprintf_DLL_R_W)\ + \ + +OBJ_cr_api_MT_R = $(PROJ_OBJ_DIR)\core.api.mt.obj +OBJ_cr_fsdhndlrs_MT_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.obj +OBJ_cr_fmtcache_MT_R = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.obj +OBJ_cr_initstr_MT_R = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.obj +OBJ_cr_mempool_MT_R = $(PROJ_OBJ_DIR)\core.mempool.mt.obj +OBJ_cr_replacements_MT_R = $(PROJ_OBJ_DIR)\core.replacements.mt.obj +OBJ_cr_snprintf_MT_R = $(PROJ_OBJ_DIR)\core.snprintf.mt.obj + +OBJ_CORE_MT_R = \ + $(OBJ_cr_api_MT_R)\ + $(OBJ_cr_fsdhndlrs_MT_R)\ + $(OBJ_cr_fmtcache_MT_R)\ + $(OBJ_cr_initstr_MT_R)\ + $(OBJ_cr_mempool_MT_R)\ + $(OBJ_cr_replacements_MT_R)\ + $(OBJ_cr_snprintf_MT_R)\ + \ + +OBJ_cr_api_MT_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.obj +OBJ_cr_fsdhndlrs_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.obj +OBJ_cr_fmtcache_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.obj +OBJ_cr_initstr_MT_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.obj +OBJ_cr_mempool_MT_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.obj +OBJ_cr_replacements_MT_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.obj +OBJ_cr_snprintf_MT_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.obj + +OBJ_CORE_MT_R_W = \ + $(OBJ_cr_api_MT_R_W)\ + $(OBJ_cr_fsdhndlrs_MT_R_W)\ + $(OBJ_cr_fmtcache_MT_R_W)\ + $(OBJ_cr_initstr_MT_R_W)\ + $(OBJ_cr_mempool_MT_R_W)\ + $(OBJ_cr_replacements_MT_R_W)\ + $(OBJ_cr_snprintf_MT_R_W)\ + \ + + +# Loki.SafeFormat + +!ifdef LOKI +OBJ_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.obj +PDB_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D = \ + $(OBJ_lok_sfmt_MT_D)\ + \ + +PDB_LOKI_SFMT_MT_D = \ + $(PDB_lok_sfmt_MT_D)\ + \ + +OBJ_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.obj +PDB_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D_W = \ + $(OBJ_lok_sfmt_MT_D_W)\ + \ + +PDB_LOKI_SFMT_MT_D_W = \ + $(PDB_lok_sfmt_MT_D_W)\ + \ + +OBJ_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.obj +PDB_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D = \ + $(OBJ_lok_sfmt_DLL_D)\ + \ + +PDB_LOKI_SFMT_DLL_D = \ + $(PDB_lok_sfmt_DLL_D)\ + \ + +OBJ_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.obj +PDB_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D_W = \ + $(OBJ_lok_sfmt_DLL_D_W)\ + \ + +PDB_LOKI_SFMT_DLL_D_W = \ + $(PDB_lok_sfmt_DLL_D_W)\ + \ + +OBJ_lok_sfmt_DLL_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.obj + +OBJ_LOKI_SFMT_DLL_R = \ + $(OBJ_lok_sfmt_DLL_R)\ + \ + +OBJ_lok_sfmt_DLL_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.obj + +OBJ_LOKI_SFMT_DLL_R_W = \ + $(OBJ_lok_sfmt_DLL_R_W)\ + \ + +OBJ_lok_sfmt_MT_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.obj + +OBJ_LOKI_SFMT_MT_R = \ + $(OBJ_lok_sfmt_MT_R)\ + \ + +OBJ_lok_sfmt_MT_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.obj + +OBJ_LOKI_SFMT_MT_R_W = \ + $(OBJ_lok_sfmt_MT_R_W)\ + \ + +!else # LOKI +OBJ_lok_sfmt_MT_D = +PDB_lok_sfmt_MT_D = +OBJ_LOKI_SFMT_MT_D_PM = +PDB_LOKI_SFMT_MT_D_PM = +OBJ_lok_sfmt_MT_D_W = +PDB_lok_sfmt_MT_D_W = +OBJ_LOKI_SFMT_MT_D_W_PM = +PDB_LOKI_SFMT_MT_D_W_PM = +OBJ_lok_sfmt_DLL_D = +PDB_lok_sfmt_DLL_D = +OBJ_LOKI_SFMT_DLL_D_PM = +PDB_LOKI_SFMT_DLL_D_PM = +OBJ_lok_sfmt_DLL_D_W = +PDB_lok_sfmt_DLL_D_W = +OBJ_LOKI_SFMT_DLL_D_W_PM = +PDB_LOKI_SFMT_DLL_D_W_PM = +OBJ_lok_sfmt_DLL_R = +PDB_lok_sfmt_DLL_R = +OBJ_LOKI_SFMT_DLL_R_PM = +PDB_LOKI_SFMT_DLL_R_PM = +OBJ_lok_sfmt_DLL_R_W = +PDB_lok_sfmt_DLL_R_W = +OBJ_LOKI_SFMT_DLL_R_W_PM = +PDB_LOKI_SFMT_DLL_R_W_PM = +OBJ_lok_sfmt_MT_R = +PDB_lok_sfmt_MT_R = +OBJ_LOKI_SFMT_MT_R_PM = +PDB_LOKI_SFMT_MT_R_PM = +OBJ_lok_sfmt_MT_R_W = +PDB_lok_sfmt_MT_R_W = +OBJ_LOKI_SFMT_MT_R_W_PM = +PDB_LOKI_SFMT_MT_R_W_PM = +!endif # LOKI + +# shwild + +OBJ_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.obj +OBJ_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.obj +OBJ_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.obj +PDB_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.pdb +PDB_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.pdb +PDB_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.pdb + +OBJ_SHWILD_MT_D = \ + $(OBJ_shw_api_MT_D)\ + $(OBJ_shw_matches_MT_D)\ + $(OBJ_shw_pattern_MT_D)\ + \ + +PDB_SHWILD_MT_D = \ + $(PDB_shw_api_MT_D)\ + $(PDB_shw_matches_MT_D)\ + $(PDB_shw_pattern_MT_D)\ + \ + +OBJ_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.obj +OBJ_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.obj +OBJ_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.obj +PDB_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.pdb +PDB_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.pdb +PDB_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.pdb + +OBJ_SHWILD_MT_D_W = \ + $(OBJ_shw_api_MT_D_W)\ + $(OBJ_shw_matches_MT_D_W)\ + $(OBJ_shw_pattern_MT_D_W)\ + \ + +PDB_SHWILD_MT_D_W = \ + $(PDB_shw_api_MT_D_W)\ + $(PDB_shw_matches_MT_D_W)\ + $(PDB_shw_pattern_MT_D_W)\ + \ + +OBJ_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.obj +OBJ_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.obj +OBJ_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.obj +PDB_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.pdb +PDB_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.pdb +PDB_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.pdb + +OBJ_SHWILD_DLL_D = \ + $(OBJ_shw_api_DLL_D)\ + $(OBJ_shw_matches_DLL_D)\ + $(OBJ_shw_pattern_DLL_D)\ + \ + +PDB_SHWILD_DLL_D = \ + $(PDB_shw_api_DLL_D)\ + $(PDB_shw_matches_DLL_D)\ + $(PDB_shw_pattern_DLL_D)\ + \ + +OBJ_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.obj +OBJ_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.obj +OBJ_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.obj +PDB_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.pdb +PDB_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.pdb +PDB_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.pdb + +OBJ_SHWILD_DLL_D_W = \ + $(OBJ_shw_api_DLL_D_W)\ + $(OBJ_shw_matches_DLL_D_W)\ + $(OBJ_shw_pattern_DLL_D_W)\ + \ + +PDB_SHWILD_DLL_D_W = \ + $(PDB_shw_api_DLL_D_W)\ + $(PDB_shw_matches_DLL_D_W)\ + $(PDB_shw_pattern_DLL_D_W)\ + \ + +OBJ_shw_api_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.obj +OBJ_shw_matches_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.obj +OBJ_shw_pattern_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.obj + +OBJ_SHWILD_DLL_R = \ + $(OBJ_shw_api_DLL_R)\ + $(OBJ_shw_matches_DLL_R)\ + $(OBJ_shw_pattern_DLL_R)\ + \ + +OBJ_shw_api_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.obj +OBJ_shw_matches_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.obj +OBJ_shw_pattern_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.obj + +OBJ_SHWILD_DLL_R_W = \ + $(OBJ_shw_api_DLL_R_W)\ + $(OBJ_shw_matches_DLL_R_W)\ + $(OBJ_shw_pattern_DLL_R_W)\ + \ + +OBJ_shw_api_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.obj +OBJ_shw_matches_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.obj +OBJ_shw_pattern_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.obj + +OBJ_SHWILD_MT_R = \ + $(OBJ_shw_api_MT_R)\ + $(OBJ_shw_matches_MT_R)\ + $(OBJ_shw_pattern_MT_R)\ + \ + +OBJ_shw_api_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.obj +OBJ_shw_matches_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.obj +OBJ_shw_pattern_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.obj + +OBJ_SHWILD_MT_R_W = \ + $(OBJ_shw_api_MT_R_W)\ + $(OBJ_shw_matches_MT_R_W)\ + $(OBJ_shw_pattern_MT_R_W)\ + \ + + +# xtests + +OBJ_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.obj +PDB_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.pdb + +OBJ_XTESTS_MT_D = \ + $(OBJ_xts_core_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_xts_core_MT_D)\ + \ + +OBJ_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.obj +PDB_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.pdb + +OBJ_XTESTS_MT_D_W = \ + $(OBJ_xts_core_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_xts_core_MT_D_W)\ + \ + +OBJ_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.obj +PDB_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.pdb + +OBJ_XTESTS_DLL_D = \ + $(OBJ_xts_core_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_xts_core_DLL_D)\ + \ + +OBJ_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.obj +PDB_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.pdb + +OBJ_XTESTS_DLL_D_W = \ + $(OBJ_xts_core_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_xts_core_DLL_D_W)\ + \ + +OBJ_xts_core_DLL_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.obj + +OBJ_XTESTS_DLL_R = \ + $(OBJ_xts_core_DLL_R)\ + \ + +OBJ_xts_core_DLL_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.obj + +OBJ_XTESTS_DLL_R_W = \ + $(OBJ_xts_core_DLL_R_W)\ + \ + +OBJ_xts_core_MT_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.obj + +OBJ_XTESTS_MT_R = \ + $(OBJ_xts_core_MT_R)\ + \ + +OBJ_xts_core_MT_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.obj + +OBJ_XTESTS_MT_R_W = \ + $(OBJ_xts_core_MT_R_W)\ + \ + + +######################################## +# Examples + +OBJ_BITBUCKET_MT_D = $(PROJ_OBJ_DIR)\bitbucket.mt.debug.obj +OBJ_BITBUCKET_MT_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.debug.obj +OBJ_BITBUCKET_DLL_D = $(PROJ_OBJ_DIR)\bitbucket.dll.debug.obj +OBJ_BITBUCKET_DLL_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.debug.obj +OBJ_BITBUCKET_DLL_R = $(PROJ_OBJ_DIR)\bitbucket.dll.obj +OBJ_BITBUCKET_DLL_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.obj +OBJ_BITBUCKET_MT_R = $(PROJ_OBJ_DIR)\bitbucket.mt.obj +OBJ_BITBUCKET_MT_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.obj + +OBJ_EX_boolean_MT_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.debug.obj +OBJ_EX_character_MT_D = $(PROJ_OBJ_DIR)\example.arguments.character.mt.debug.obj +OBJ_EX_reals_MT_D = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.debug.obj +OBJ_EX_voidptrs_MT_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.debug.obj +OBJ_EX_ff_101_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.mt.debug.obj +OBJ_EX_ff_101_nons_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.debug.obj +OBJ_EX_flush_MT_D = $(PROJ_OBJ_DIR)\example.flush.mt.debug.obj +OBJ_EX_ins_hex_MT_D = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.debug.obj +OBJ_EX_ins_integers_MT_D = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.debug.obj +OBJ_EX_ins_reals_MT_D = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.debug.obj +OBJ_EX_ins_WLIs_MT_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.debug.obj +OBJ_EX_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.debug.obj +OBJ_EX_ovld2_filt_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.debug.obj +OBJ_EX_ovld2_ins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.debug.obj +OBJ_EX_ovld2_sas_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.debug.obj +OBJ_EX_ovld3_fpcol_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.debug.obj +OBJ_EX_ovld3_tabs_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.debug.obj +OBJ_EX_ovld3_svrlg_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.debug.obj +OBJ_EX_ovld3_rcins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.debug.obj +OBJ_EX_pad_hash_MT_D = $(PROJ_OBJ_DIR)\example.pad.hash.mt.debug.obj +OBJ_EX_sink_cstr_MT_D = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.debug.obj +OBJ_EX_sink_ODS_MT_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.debug.obj + +OBJ_EX_boolean_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.debug.obj +OBJ_EX_character_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.debug.obj +OBJ_EX_reals_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.debug.obj +OBJ_EX_voidptrs_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.debug.obj +OBJ_EX_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_EX_sink_ODS_MT_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.debug.obj + +OBJ_EX_boolean_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.debug.obj +OBJ_EX_character_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.character.dll.debug.obj +OBJ_EX_reals_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.debug.obj +OBJ_EX_voidptrs_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.debug.obj +OBJ_EX_ff_101_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.dll.debug.obj +OBJ_EX_ff_101_nons_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.debug.obj +OBJ_EX_flush_DLL_D = $(PROJ_OBJ_DIR)\example.flush.dll.debug.obj +OBJ_EX_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.debug.obj +OBJ_EX_ins_integers_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.debug.obj +OBJ_EX_ins_reals_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.debug.obj +OBJ_EX_ins_WLIs_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.debug.obj +OBJ_EX_ovld2_filt_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.debug.obj +OBJ_EX_ovld2_ins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.debug.obj +OBJ_EX_ovld2_sas_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.debug.obj +OBJ_EX_ovld3_fpcol_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.debug.obj +OBJ_EX_ovld3_tabs_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.debug.obj +OBJ_EX_ovld3_svrlg_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.debug.obj +OBJ_EX_ovld3_rcins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.debug.obj +OBJ_EX_pad_hash_DLL_D = $(PROJ_OBJ_DIR)\example.pad.hash.dll.debug.obj +OBJ_EX_sink_cstr_DLL_D = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.debug.obj + +OBJ_EX_boolean_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.debug.obj +OBJ_EX_character_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.debug.obj +OBJ_EX_reals_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.debug.obj +OBJ_EX_voidptrs_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.debug.obj + +OBJ_EX_boolean_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.obj +OBJ_EX_character_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.character.dll.obj +OBJ_EX_reals_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.obj +OBJ_EX_voidptrs_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.obj +OBJ_EX_ff_101_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.dll.obj +OBJ_EX_ff_101_nons_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.obj +OBJ_EX_flush_DLL_R = $(PROJ_OBJ_DIR)\example.flush.dll.obj +OBJ_EX_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.obj +OBJ_EX_ins_integers_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.obj +OBJ_EX_ins_reals_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.obj +OBJ_EX_ins_WLIs_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.obj +OBJ_EX_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.obj +OBJ_EX_ovld2_filt_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.obj +OBJ_EX_ovld2_ins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.obj +OBJ_EX_ovld2_sas_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.obj +OBJ_EX_ovld3_fpcol_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.obj +OBJ_EX_ovld3_tabs_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.obj +OBJ_EX_ovld3_svrlg_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.obj +OBJ_EX_ovld3_rcins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.obj +OBJ_EX_pad_hash_DLL_R = $(PROJ_OBJ_DIR)\example.pad.hash.dll.obj +OBJ_EX_sink_cstr_DLL_R = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.obj +OBJ_EX_sink_ODS_DLL_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.obj + +OBJ_EX_boolean_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.obj +OBJ_EX_character_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.obj +OBJ_EX_reals_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.obj +OBJ_EX_voidptrs_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.obj +OBJ_EX_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.obj +OBJ_EX_sink_ODS_DLL_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.obj + +OBJ_EX_boolean_MT_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.obj +OBJ_EX_character_MT_R = $(PROJ_OBJ_DIR)\example.arguments.character.mt.obj +OBJ_EX_reals_MT_R = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.obj +OBJ_EX_voidptrs_MT_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.obj +OBJ_EX_ff_101_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.mt.obj +OBJ_EX_ff_101_nons_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.obj +OBJ_EX_flush_MT_R = $(PROJ_OBJ_DIR)\example.flush.mt.obj +OBJ_EX_ins_hex_MT_R = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.obj +OBJ_EX_ins_integers_MT_R = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.obj +OBJ_EX_ins_reals_MT_R = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.obj +OBJ_EX_ins_WLIs_MT_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.obj +OBJ_EX_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.obj +OBJ_EX_ovld2_filt_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.obj +OBJ_EX_ovld2_ins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.obj +OBJ_EX_ovld2_sas_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.obj +OBJ_EX_ovld3_fpcol_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.obj +OBJ_EX_ovld3_tabs_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.obj +OBJ_EX_ovld3_svrlg_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.obj +OBJ_EX_ovld3_rcins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.obj +OBJ_EX_pad_hash_MT_R = $(PROJ_OBJ_DIR)\example.pad.hash.mt.obj +OBJ_EX_sink_cstr_MT_R = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.obj +OBJ_EX_sink_ODS_MT_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.obj + +OBJ_EX_boolean_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.obj +OBJ_EX_character_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.obj +OBJ_EX_reals_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.obj +OBJ_EX_voidptrs_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.obj +OBJ_EX_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.obj +OBJ_EX_sink_ODS_MT_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.obj + + +######################################## +# Tests + +############################## +# Component Tests + +OBJ_TEST_COMP_file_MT_D = $(PROJ_OBJ_DIR)\test.component.FILE.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D = $(PROJ_OBJ_DIR)\test.component.fsde.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.debug.obj +OBJ_TEST_COMP_file_MT_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_COMP_file_DLL_D = $(PROJ_OBJ_DIR)\test.component.FILE.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D = $(PROJ_OBJ_DIR)\test.component.fsde.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.debug.obj +OBJ_TEST_COMP_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_COMP_file_DLL_R = $(PROJ_OBJ_DIR)\test.component.FILE.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.obj +OBJ_TEST_COMP_x_1_DLL_R = $(PROJ_OBJ_DIR)\test.component.fsde.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.obj +OBJ_TEST_COMP_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.obj +OBJ_TEST_COMP_x_1_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.obj +OBJ_TEST_COMP_file_MT_R = $(PROJ_OBJ_DIR)\test.component.FILE.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.obj +OBJ_TEST_COMP_ins_int_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.obj +OBJ_TEST_COMP_ins_real_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.obj +OBJ_TEST_COMP_null_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.obj +OBJ_TEST_COMP_write_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.obj +OBJ_TEST_COMP_x_1_MT_R = $(PROJ_OBJ_DIR)\test.component.fsde.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.obj +OBJ_TEST_COMP_file_MT_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.obj +OBJ_TEST_COMP_ins_int_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.obj +OBJ_TEST_COMP_ins_real_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.obj +OBJ_TEST_COMP_null_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_COMP_write_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.obj +OBJ_TEST_COMP_x_1_MT_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.obj + +############################## +# Performance Tests + +OBJ_TEST_PERF_scen1_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.obj +OBJ_TEST_PERF_scen2_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.obj +OBJ_TEST_PERF_scen3_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.obj +OBJ_TEST_PERF_scen4_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.obj +OBJ_TEST_PERF_scen5_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.obj +OBJ_TEST_PERF_scen6_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.obj +OBJ_TEST_PERF_scen7_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.obj +OBJ_TEST_PERF_scen2_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.obj +OBJ_TEST_PERF_scen3_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.obj +OBJ_TEST_PERF_scen4_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.obj +OBJ_TEST_PERF_scen5_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.obj +OBJ_TEST_PERF_scen6_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.obj +OBJ_TEST_PERF_scen7_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.obj +OBJ_TEST_PERF_scen2_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.obj +OBJ_TEST_PERF_scen3_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.obj +OBJ_TEST_PERF_scen4_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.obj +OBJ_TEST_PERF_scen5_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.obj +OBJ_TEST_PERF_scen6_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.obj +OBJ_TEST_PERF_scen7_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.obj +OBJ_TEST_PERF_scen2_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.obj +OBJ_TEST_PERF_scen3_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.obj +OBJ_TEST_PERF_scen4_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.obj +OBJ_TEST_PERF_scen5_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.obj +OBJ_TEST_PERF_scen6_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.obj +OBJ_TEST_PERF_scen7_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.obj +!endif # BOOST + +############################## +# Scratch Tests + +OBJ_TEST_SCRT_file_MT_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.debug.obj +OBJ_TEST_SCRT_file_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.debug.obj +OBJ_TEST_SCRT_file_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.obj +OBJ_TEST_SCRT_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.obj +OBJ_TEST_SCRT_file_MT_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.obj +OBJ_TEST_SCRT_file_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.obj + +OBJ_TEST_SCRT_speech_MT_D = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.debug.obj +OBJ_TEST_SCRT_speech_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.debug.obj +OBJ_TEST_SCRT_speech_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.obj +OBJ_TEST_SCRT_speech_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.obj +OBJ_TEST_SCRT_speech_MT_R = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.obj +OBJ_TEST_SCRT_speech_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.obj + +############################## +# Unit Tests + +OBJ_TEST_UNIT_api_acsllen_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.obj +OBJ_TEST_UNIT_api_init_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_init_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.obj + +################################################## +# Target groups + +######################################## +# Objects + +# fastformat +OBJS_CORE = \ + $(OBJ_CORE_MT_D)\ + $(OBJ_CORE_MT_D_W)\ + $(OBJ_CORE_DLL_D)\ + $(OBJ_CORE_DLL_D_W)\ + $(OBJ_CORE_DLL_R)\ + $(OBJ_CORE_DLL_R_W)\ + $(OBJ_CORE_MT_R)\ + $(OBJ_CORE_MT_R_W)\ + \ + +# Loki.SafeFormat +OBJS_LOKI_SFMT = \ + $(OBJ_LOKI_SFMT_MT_D)\ + $(OBJ_LOKI_SFMT_MT_D_W)\ + $(OBJ_LOKI_SFMT_DLL_D)\ + $(OBJ_LOKI_SFMT_DLL_D_W)\ + $(OBJ_LOKI_SFMT_DLL_R)\ + $(OBJ_LOKI_SFMT_DLL_R_W)\ + $(OBJ_LOKI_SFMT_MT_R)\ + $(OBJ_LOKI_SFMT_MT_R_W)\ + \ + +# shwild +OBJS_SHWILD = \ + $(OBJ_SHWILD_MT_D)\ + $(OBJ_SHWILD_MT_D_W)\ + $(OBJ_SHWILD_DLL_D)\ + $(OBJ_SHWILD_DLL_D_W)\ + $(OBJ_SHWILD_DLL_R)\ + $(OBJ_SHWILD_DLL_R_W)\ + $(OBJ_SHWILD_MT_R)\ + $(OBJ_SHWILD_MT_R_W)\ + \ + +# xtests +OBJS_XTESTS = \ + $(OBJ_XTESTS_MT_D)\ + $(OBJ_XTESTS_MT_D_W)\ + $(OBJ_XTESTS_DLL_D)\ + $(OBJ_XTESTS_DLL_D_W)\ + $(OBJ_XTESTS_DLL_R)\ + $(OBJ_XTESTS_DLL_R_W)\ + $(OBJ_XTESTS_MT_R)\ + $(OBJ_XTESTS_MT_R_W)\ + \ + +# Examples +OBJS_EXAMPLE=\ + $(OBJ_EX_boolean_MT_D)\ + $(OBJ_EX_character_MT_D)\ + $(OBJ_EX_reals_MT_D)\ + $(OBJ_EX_voidptrs_MT_D)\ + $(OBJ_EX_ff_101_MT_D)\ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(OBJ_EX_flush_MT_D)\ + $(OBJ_EX_ins_hex_MT_D)\ + $(OBJ_EX_ins_integers_MT_D)\ + $(OBJ_EX_ins_reals_MT_D)\ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(OBJ_EX_itr_fmt_MT_D)\ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(OBJ_EX_pad_hash_MT_D)\ + $(OBJ_EX_sink_cstr_MT_D)\ + $(OBJ_EX_sink_ODS_MT_D)\ + $(OBJ_EX_boolean_MT_D_W)\ + $(OBJ_EX_character_MT_D_W)\ + $(OBJ_EX_reals_MT_D_W)\ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(OBJ_EX_boolean_DLL_D)\ + $(OBJ_EX_character_DLL_D)\ + $(OBJ_EX_reals_DLL_D)\ + $(OBJ_EX_voidptrs_DLL_D)\ + $(OBJ_EX_ff_101_DLL_D)\ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(OBJ_EX_flush_DLL_D)\ + $(OBJ_EX_ins_hex_DLL_D)\ + $(OBJ_EX_ins_integers_DLL_D)\ + $(OBJ_EX_ins_reals_DLL_D)\ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(OBJ_EX_pad_hash_DLL_D)\ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(OBJ_EX_boolean_DLL_D_W)\ + $(OBJ_EX_character_DLL_D_W)\ + $(OBJ_EX_reals_DLL_D_W)\ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(OBJ_EX_boolean_DLL_R)\ + $(OBJ_EX_character_DLL_R)\ + $(OBJ_EX_reals_DLL_R)\ + $(OBJ_EX_voidptrs_DLL_R)\ + $(OBJ_EX_ff_101_DLL_R)\ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(OBJ_EX_flush_DLL_R)\ + $(OBJ_EX_ins_hex_DLL_R)\ + $(OBJ_EX_ins_integers_DLL_R)\ + $(OBJ_EX_ins_reals_DLL_R)\ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(OBJ_EX_pad_hash_DLL_R)\ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(OBJ_EX_boolean_DLL_R_W)\ + $(OBJ_EX_character_DLL_R_W)\ + $(OBJ_EX_reals_DLL_R_W)\ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(OBJ_EX_boolean_MT_R)\ + $(OBJ_EX_character_MT_R)\ + $(OBJ_EX_reals_MT_R)\ + $(OBJ_EX_voidptrs_MT_R)\ + $(OBJ_EX_ff_101_MT_R)\ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(OBJ_EX_flush_MT_R)\ + $(OBJ_EX_ins_hex_MT_R)\ + $(OBJ_EX_ins_integers_MT_R)\ + $(OBJ_EX_ins_reals_MT_R)\ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(OBJ_EX_itr_fmt_MT_R)\ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(OBJ_EX_pad_hash_MT_R)\ + $(OBJ_EX_sink_cstr_MT_R)\ + $(OBJ_EX_sink_ODS_MT_R)\ + $(OBJ_EX_boolean_MT_R_W)\ + $(OBJ_EX_character_MT_R_W)\ + $(OBJ_EX_reals_MT_R_W)\ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(OBJ_EX_sink_ODS_MT_R_W)\ + \ + +# Component Tests +OBJS_TEST_COMPONENT=\ + $(OBJ_TEST_COMP_file_MT_D)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_COMP_file_MT_R)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +# Performance Tests +OBJS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +OBJS_TEST_PERFORMANCE_BOOST=\ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(OBJ_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +OBJS_TEST_PERFORMANCE=\ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(OBJS_TEST_PERFORMANCE_BOOST)\ + \ + +# Scratch Tests +OBJS_TEST_SCRATCH=\ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + \ + +# Unit Tests +OBJS_TEST_UNIT=\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +######################################## +# Libraries + +TARGETS_LIB_CORE = \ + $(LIB_CORE_MT_D)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_CORE_DLL_D)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_CORE_DLL_R)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_CORE_MT_R)\ + $(LIB_CORE_MT_R_W)\ + \ + +TARGETS_LIB_LOKI_SFMT = \ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + \ + +TARGETS_LIB_SHWILD = \ + $(LIB_SHWILD_MT_D)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_SHWILD_MT_R_W)\ + \ + +TARGETS_LIB_XTESTS = \ + $(LIB_XTESTS_MT_D)\ + $(LIB_XTESTS_MT_D_W)\ + $(LIB_XTESTS_DLL_D)\ + $(LIB_XTESTS_DLL_D_W)\ + $(LIB_XTESTS_DLL_R)\ + $(LIB_XTESTS_DLL_R_W)\ + $(LIB_XTESTS_MT_R)\ + $(LIB_XTESTS_MT_R_W)\ + \ + +######################################## +# Programs + +TARGETS_BITBUCKET=\ + $(TARG_BITBUCKET_MT_D)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(TARG_BITBUCKET_DLL_D)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_R)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(TARG_BITBUCKET_MT_R)\ + $(TARG_BITBUCKET_MT_R_W)\ + \ + +TARGETS_EXAMPLE=\ + $(TARG_EX_boolean_MT_D)\ + $(TARG_EX_character_MT_D)\ + $(TARG_EX_reals_MT_D)\ + $(TARG_EX_voidptrs_MT_D)\ + $(TARG_EX_ff_101_MT_D)\ + $(TARG_EX_ff_101_nons_MT_D)\ + $(TARG_EX_flush_MT_D)\ + $(TARG_EX_ins_hex_MT_D)\ + $(TARG_EX_ins_integers_MT_D)\ + $(TARG_EX_ins_reals_MT_D)\ + $(TARG_EX_ins_WLIs_MT_D)\ + $(TARG_EX_itr_fmt_MT_D)\ + $(TARG_EX_ovld2_filt_MT_D)\ + $(TARG_EX_ovld2_ins_MT_D)\ + $(TARG_EX_ovld2_sas_MT_D)\ + $(TARG_EX_ovld3_fpcol_MT_D)\ + $(TARG_EX_ovld3_tabs_MT_D)\ + $(TARG_EX_ovld3_svrlg_MT_D)\ + $(TARG_EX_ovld3_rcins_MT_D)\ + $(TARG_EX_pad_hash_MT_D)\ + $(TARG_EX_sink_cstr_MT_D)\ + $(TARG_EX_sink_ODS_MT_D)\ + $(TARG_EX_boolean_MT_D_W)\ + $(TARG_EX_character_MT_D_W)\ + $(TARG_EX_reals_MT_D_W)\ + $(TARG_EX_voidptrs_MT_D_W)\ + $(TARG_EX_itr_fmt_MT_D_W)\ + $(TARG_EX_sink_ODS_MT_D_W)\ + $(TARG_EX_boolean_DLL_D)\ + $(TARG_EX_character_DLL_D)\ + $(TARG_EX_reals_DLL_D)\ + $(TARG_EX_voidptrs_DLL_D)\ + $(TARG_EX_ff_101_DLL_D)\ + $(TARG_EX_ff_101_nons_DLL_D)\ + $(TARG_EX_flush_DLL_D)\ + $(TARG_EX_ins_hex_DLL_D)\ + $(TARG_EX_ins_integers_DLL_D)\ + $(TARG_EX_ins_reals_DLL_D)\ + $(TARG_EX_ins_WLIs_DLL_D)\ + $(TARG_EX_itr_fmt_DLL_D)\ + $(TARG_EX_ovld2_filt_DLL_D)\ + $(TARG_EX_ovld2_ins_DLL_D)\ + $(TARG_EX_ovld2_sas_DLL_D)\ + $(TARG_EX_ovld3_fpcol_DLL_D)\ + $(TARG_EX_ovld3_tabs_DLL_D)\ + $(TARG_EX_ovld3_svrlg_DLL_D)\ + $(TARG_EX_ovld3_rcins_DLL_D)\ + $(TARG_EX_pad_hash_DLL_D)\ + $(TARG_EX_sink_cstr_DLL_D)\ + $(TARG_EX_sink_ODS_DLL_D)\ + $(TARG_EX_boolean_DLL_D_W)\ + $(TARG_EX_character_DLL_D_W)\ + $(TARG_EX_reals_DLL_D_W)\ + $(TARG_EX_voidptrs_DLL_D_W)\ + $(TARG_EX_itr_fmt_DLL_D_W)\ + $(TARG_EX_sink_ODS_DLL_D_W)\ + $(TARG_EX_boolean_DLL_R)\ + $(TARG_EX_character_DLL_R)\ + $(TARG_EX_reals_DLL_R)\ + $(TARG_EX_voidptrs_DLL_R)\ + $(TARG_EX_ff_101_DLL_R)\ + $(TARG_EX_ff_101_nons_DLL_R)\ + $(TARG_EX_flush_DLL_R)\ + $(TARG_EX_ins_hex_DLL_R)\ + $(TARG_EX_ins_integers_DLL_R)\ + $(TARG_EX_ins_reals_DLL_R)\ + $(TARG_EX_ins_WLIs_DLL_R)\ + $(TARG_EX_itr_fmt_DLL_R)\ + $(TARG_EX_ovld2_filt_DLL_R)\ + $(TARG_EX_ovld2_ins_DLL_R)\ + $(TARG_EX_ovld2_sas_DLL_R)\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_pad_hash_DLL_R)\ + $(TARG_EX_sink_cstr_DLL_R)\ + $(TARG_EX_sink_ODS_DLL_R)\ + $(TARG_EX_boolean_DLL_R_W)\ + $(TARG_EX_character_DLL_R_W)\ + $(TARG_EX_reals_DLL_R_W)\ + $(TARG_EX_voidptrs_DLL_R_W)\ + $(TARG_EX_itr_fmt_DLL_R_W)\ + $(TARG_EX_sink_ODS_DLL_R_W)\ + $(TARG_EX_boolean_MT_R)\ + $(TARG_EX_character_MT_R)\ + $(TARG_EX_reals_MT_R)\ + $(TARG_EX_voidptrs_MT_R)\ + $(TARG_EX_ff_101_MT_R)\ + $(TARG_EX_ff_101_nons_MT_R)\ + $(TARG_EX_flush_MT_R)\ + $(TARG_EX_ins_hex_MT_R)\ + $(TARG_EX_ins_integers_MT_R)\ + $(TARG_EX_ins_reals_MT_R)\ + $(TARG_EX_ins_WLIs_MT_R)\ + $(TARG_EX_itr_fmt_MT_R)\ + $(TARG_EX_ovld2_filt_MT_R)\ + $(TARG_EX_ovld2_ins_MT_R)\ + $(TARG_EX_ovld2_sas_MT_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + $(TARG_EX_pad_hash_MT_R)\ + $(TARG_EX_sink_cstr_MT_R)\ + $(TARG_EX_sink_ODS_MT_R)\ + $(TARG_EX_boolean_MT_R_W)\ + $(TARG_EX_character_MT_R_W)\ + $(TARG_EX_reals_MT_R_W)\ + $(TARG_EX_voidptrs_MT_R_W)\ + $(TARG_EX_itr_fmt_MT_R_W)\ + $(TARG_EX_sink_ODS_MT_R_W)\ + \ + +TARGETS_EXAMPLE_OVERLOAD_3=\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + \ + +TARGETS_SAMPLE=\ + \ + +TARGETS_TEST_COMPONENT=\ + $(TARG_TEST_COMP_file_MT_D)\ + $(TARG_TEST_COMP_fmt_sink_MT_D)\ + $(TARG_TEST_COMP_ins_hex_MT_D)\ + $(TARG_TEST_COMP_ins_int_MT_D)\ + $(TARG_TEST_COMP_ins_real_MT_D)\ + $(TARG_TEST_COMP_itr_fmt_MT_D)\ + $(TARG_TEST_COMP_null_sink_MT_D)\ + $(TARG_TEST_COMP_snk_a_b_MT_D)\ + $(TARG_TEST_COMP_write_sink_MT_D)\ + $(TARG_TEST_COMP_x_1_MT_D)\ + $(TARG_TEST_COMP_snk_ostm_MT_D)\ + $(TARG_TEST_COMP_file_MT_D_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_D_W)\ + $(TARG_TEST_COMP_ins_hex_MT_D_W)\ + $(TARG_TEST_COMP_ins_int_MT_D_W)\ + $(TARG_TEST_COMP_ins_real_MT_D_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_D_W)\ + $(TARG_TEST_COMP_null_sink_MT_D_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_D_W)\ + $(TARG_TEST_COMP_write_sink_MT_D_W)\ + $(TARG_TEST_COMP_x_1_MT_D_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_D_W)\ + $(TARG_TEST_COMP_file_DLL_D)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D)\ + $(TARG_TEST_COMP_ins_hex_DLL_D)\ + $(TARG_TEST_COMP_ins_int_DLL_D)\ + $(TARG_TEST_COMP_ins_real_DLL_D)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D)\ + $(TARG_TEST_COMP_null_sink_DLL_D)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D)\ + $(TARG_TEST_COMP_write_sink_DLL_D)\ + $(TARG_TEST_COMP_x_1_DLL_D)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D)\ + $(TARG_TEST_COMP_file_DLL_D_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_D_W)\ + $(TARG_TEST_COMP_ins_int_DLL_D_W)\ + $(TARG_TEST_COMP_ins_real_DLL_D_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D_W)\ + $(TARG_TEST_COMP_null_sink_DLL_D_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D_W)\ + $(TARG_TEST_COMP_write_sink_DLL_D_W)\ + $(TARG_TEST_COMP_x_1_DLL_D_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D_W)\ + $(TARG_TEST_COMP_file_DLL_R)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R)\ + $(TARG_TEST_COMP_ins_hex_DLL_R)\ + $(TARG_TEST_COMP_ins_int_DLL_R)\ + $(TARG_TEST_COMP_ins_real_DLL_R)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R)\ + $(TARG_TEST_COMP_null_sink_DLL_R)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R)\ + $(TARG_TEST_COMP_write_sink_DLL_R)\ + $(TARG_TEST_COMP_x_1_DLL_R)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R)\ + $(TARG_TEST_COMP_file_DLL_R_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_R_W)\ + $(TARG_TEST_COMP_ins_int_DLL_R_W)\ + $(TARG_TEST_COMP_ins_real_DLL_R_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R_W)\ + $(TARG_TEST_COMP_null_sink_DLL_R_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R_W)\ + $(TARG_TEST_COMP_write_sink_DLL_R_W)\ + $(TARG_TEST_COMP_x_1_DLL_R_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R_W)\ + $(TARG_TEST_COMP_file_MT_R)\ + $(TARG_TEST_COMP_fmt_sink_MT_R)\ + $(TARG_TEST_COMP_ins_hex_MT_R)\ + $(TARG_TEST_COMP_ins_int_MT_R)\ + $(TARG_TEST_COMP_ins_real_MT_R)\ + $(TARG_TEST_COMP_itr_fmt_MT_R)\ + $(TARG_TEST_COMP_null_sink_MT_R)\ + $(TARG_TEST_COMP_snk_a_b_MT_R)\ + $(TARG_TEST_COMP_write_sink_MT_R)\ + $(TARG_TEST_COMP_x_1_MT_R)\ + $(TARG_TEST_COMP_snk_ostm_MT_R)\ + $(TARG_TEST_COMP_file_MT_R_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_R_W)\ + $(TARG_TEST_COMP_ins_hex_MT_R_W)\ + $(TARG_TEST_COMP_ins_int_MT_R_W)\ + $(TARG_TEST_COMP_ins_real_MT_R_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_R_W)\ + $(TARG_TEST_COMP_null_sink_MT_R_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_R_W)\ + $(TARG_TEST_COMP_write_sink_MT_R_W)\ + $(TARG_TEST_COMP_x_1_MT_R_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +TARGETS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +TARGETS_TEST_PERFORMANCE_BOOST=\ + $(TARG_TEST_PERF_scen8_MT_D)\ + $(TARG_TEST_PERF_scen8_DLL_D)\ + $(TARG_TEST_PERF_scen8_DLL_R)\ + $(TARG_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +TARGETS_TEST_PERFORMANCE=\ + $(TARG_TEST_PERF_scen1_MT_D)\ + $(TARG_TEST_PERF_scen2_MT_D)\ + $(TARG_TEST_PERF_scen3_MT_D)\ + $(TARG_TEST_PERF_scen4_MT_D)\ + $(TARG_TEST_PERF_scen5_MT_D)\ + $(TARG_TEST_PERF_scen6_MT_D)\ + $(TARG_TEST_PERF_scen7_MT_D)\ + $(TARG_TEST_PERF_scen1_DLL_D)\ + $(TARG_TEST_PERF_scen2_DLL_D)\ + $(TARG_TEST_PERF_scen3_DLL_D)\ + $(TARG_TEST_PERF_scen4_DLL_D)\ + $(TARG_TEST_PERF_scen5_DLL_D)\ + $(TARG_TEST_PERF_scen6_DLL_D)\ + $(TARG_TEST_PERF_scen7_DLL_D)\ + $(TARG_TEST_PERF_scen1_DLL_R)\ + $(TARG_TEST_PERF_scen2_DLL_R)\ + $(TARG_TEST_PERF_scen3_DLL_R)\ + $(TARG_TEST_PERF_scen4_DLL_R)\ + $(TARG_TEST_PERF_scen5_DLL_R)\ + $(TARG_TEST_PERF_scen6_DLL_R)\ + $(TARG_TEST_PERF_scen7_DLL_R)\ + $(TARG_TEST_PERF_scen1_MT_R)\ + $(TARG_TEST_PERF_scen2_MT_R)\ + $(TARG_TEST_PERF_scen3_MT_R)\ + $(TARG_TEST_PERF_scen4_MT_R)\ + $(TARG_TEST_PERF_scen5_MT_R)\ + $(TARG_TEST_PERF_scen6_MT_R)\ + $(TARG_TEST_PERF_scen7_MT_R)\ + $(TARGETS_TEST_PERFORMANCE_BOOST)\ + \ + +TARGETS_TEST_SCRATCH=\ + $(TARG_TEST_SCRT_file_MT_D)\ + $(TARG_TEST_SCRT_speech_MT_D)\ + $(TARG_TEST_SCRT_file_MT_D_W)\ + $(TARG_TEST_SCRT_speech_MT_D_W)\ + $(TARG_TEST_SCRT_file_DLL_D)\ + $(TARG_TEST_SCRT_speech_DLL_D)\ + $(TARG_TEST_SCRT_file_DLL_D_W)\ + $(TARG_TEST_SCRT_speech_DLL_D_W)\ + $(TARG_TEST_SCRT_file_DLL_R)\ + $(TARG_TEST_SCRT_speech_DLL_R)\ + $(TARG_TEST_SCRT_file_DLL_R_W)\ + $(TARG_TEST_SCRT_speech_DLL_R_W)\ + $(TARG_TEST_SCRT_file_MT_R)\ + $(TARG_TEST_SCRT_speech_MT_R)\ + $(TARG_TEST_SCRT_file_MT_R_W)\ + $(TARG_TEST_SCRT_speech_MT_R_W)\ + \ + +TARGETS_TEST_UNIT=\ + $(TARG_TEST_UNIT_api_acsllen_MT_D)\ + $(TARG_TEST_UNIT_api_crlf_MT_D)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D)\ + $(TARG_TEST_UNIT_api_init_MT_D)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D)\ + $(TARG_TEST_UNIT_ins_ch_MT_D)\ + $(TARG_TEST_UNIT_ins_i_MT_D)\ + $(TARG_TEST_UNIT_shim_fi_MT_D)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D)\ + $(TARG_TEST_UNIT_snk_null_MT_D)\ + $(TARG_TEST_UNIT_snk_ods_MT_D)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D)\ + $(TARG_TEST_UNIT_api_acsllen_MT_D_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(TARG_TEST_UNIT_api_init_MT_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_D_W)\ + $(TARG_TEST_UNIT_ins_i_MT_D_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(TARG_TEST_UNIT_snk_null_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D)\ + $(TARG_TEST_UNIT_api_init_DLL_D)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D)\ + $(TARG_TEST_UNIT_ins_i_DLL_D)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(TARG_TEST_UNIT_snk_null_DLL_D)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_init_DLL_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_D_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R)\ + $(TARG_TEST_UNIT_api_init_DLL_R)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R)\ + $(TARG_TEST_UNIT_ins_i_DLL_R)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(TARG_TEST_UNIT_snk_null_DLL_R)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_init_DLL_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_R_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R)\ + $(TARG_TEST_UNIT_api_crlf_MT_R)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R)\ + $(TARG_TEST_UNIT_api_init_MT_R)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R)\ + $(TARG_TEST_UNIT_ins_ch_MT_R)\ + $(TARG_TEST_UNIT_ins_i_MT_R)\ + $(TARG_TEST_UNIT_shim_fi_MT_R)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R)\ + $(TARG_TEST_UNIT_snk_null_MT_R)\ + $(TARG_TEST_UNIT_snk_ods_MT_R)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(TARG_TEST_UNIT_api_init_MT_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_R_W)\ + $(TARG_TEST_UNIT_ins_i_MT_R_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(TARG_TEST_UNIT_snk_null_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] )\ + +############################################################ +# Third-party libraries + + + + + + + + + +############################################################################ +# External Targets (invokable by make) + +# primary + +_default: build + +usage: + @echo "make [usage | compile | build | test | clean] - default is 'build'" + +# infrastructure + + + +prep.files.for.os: $(PROJ_MAKEFILE_NAME)\ + \ + +prep: prep.files.for.os + + +check.lib.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + +check.bin.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_BIN_DIR)\NUL mkdir $(PROJ_BIN_DIR) + + +# compile targets + +compile.libs.core: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_CORE) + +compile.libs.loki.safefmt: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_LOKI_SFMT) + +compile.libs.shwild: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SHWILD) + +compile.libs.xtests: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_XTESTS) + +compile.libs: compile.libs.core compile.libs.loki.safefmt compile.libs.shwild compile.libs.xtests + +compile.bitbucket: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_BITBUCKET) + +compile.examples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_EXAMPLE) + +compile.samples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SAMPLE) + +compile.test.component: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_COMPONENT) + +compile.test.performance: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_PERFORMANCE) + +compile.test.scratch: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_SCRATCH) + +compile.test.unit: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_UNIT) + +compile.test: compile.test.unit compile.test.component + +compile.test.all: compile.test compile.test.scratch compile.test.performance + +compile: compile.libs compile.test compile.examples compile.samples + +compile.all: compile compile.test.all + + + +# build targets + +build.libs.core: check.lib.dir prep.files.for.os $(TARGETS_LIB_CORE) + +build.libs.loki.safefmt: check.lib.dir prep.files.for.os $(TARGETS_LIB_LOKI_SFMT) + +build.libs.shwild: check.lib.dir prep.files.for.os $(TARGETS_LIB_SHWILD) + +build.libs.xtests: check.lib.dir prep.files.for.os $(TARGETS_LIB_XTESTS) + +build.libs: build.libs.core build.libs.loki.safefmt build.libs.shwild build.libs.xtests + +build.bitbucket: check.bin.dir prep.files.for.os $(TARGETS_BITBUCKET) + +build.examples: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE) + +build.examples.overload.3: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE_OVERLOAD_3) + +build.samples: check.bin.dir prep.files.for.os $(TARGETS_SAMPLE) + +build.test.component: check.bin.dir prep.files.for.os $(TARGETS_TEST_COMPONENT) + +build.test.performance: check.bin.dir prep.files.for.os $(TARGETS_TEST_PERFORMANCE) + +build.test.scratch: check.bin.dir prep.files.for.os $(TARGETS_TEST_SCRATCH) + +build.test.unit: check.bin.dir prep.files.for.os $(TARGETS_TEST_UNIT) + +build.test: build.test.unit build.test.component build.test.performance + +build.test.all: build.test build.test.scratch + +build: build.libs build.examples build.samples build.test + +build.all: build build.test.all + + + +# test targets + +test.component_: + @echo Running Test:Component programs ... + $(TARG_TEST_COMP_file_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.performance_: + @echo Running Test:Performance programs ... + $(TARG_TEST_PERF_scen1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_DLL_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_DLL_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + $(TARG_TEST_PERF_scen1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_MT_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_MT_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + +test.scratch_: + @echo Running Test:Scratch programs ... + $(TARG_TEST_SCRT_file_MT_D) + $(TARG_TEST_SCRT_speech_MT_D) + $(TARG_TEST_SCRT_file_MT_D_W) + $(TARG_TEST_SCRT_speech_MT_D_W) + $(TARG_TEST_SCRT_file_DLL_D) + $(TARG_TEST_SCRT_speech_DLL_D) + $(TARG_TEST_SCRT_file_DLL_D_W) + $(TARG_TEST_SCRT_speech_DLL_D_W) + $(TARG_TEST_SCRT_file_DLL_R) + $(TARG_TEST_SCRT_speech_DLL_R) + $(TARG_TEST_SCRT_file_DLL_R_W) + $(TARG_TEST_SCRT_speech_DLL_R_W) + $(TARG_TEST_SCRT_file_MT_R) + $(TARG_TEST_SCRT_speech_MT_R) + $(TARG_TEST_SCRT_file_MT_R_W) + $(TARG_TEST_SCRT_speech_MT_R_W) + +test.unit_: + @echo Running Test:Unit programs ... + $(TARG_TEST_UNIT_api_acsllen_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] ) --verbosity=$(TEST_VERBOSITY) + +test.examples_: + @echo Running Example programs ... + $(TARG_EX_boolean_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_integers_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_reals_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_WLIs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld2_filt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_tabs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_svrlg_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_rcins_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_pad_hash_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_cstr_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_ODS_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D_W) + $(TARG_EX_sink_ODS_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_integers_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_WLIs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld2_filt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_tabs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_svrlg_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_rcins_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_pad_hash_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_cstr_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_ODS_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D_W) + $(TARG_EX_sink_ODS_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_integers_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_WLIs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld2_filt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_pad_hash_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_cstr_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_ODS_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R_W) + $(TARG_EX_sink_ODS_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_integers_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_reals_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_WLIs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld2_filt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_pad_hash_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_cstr_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_ODS_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R_W) + $(TARG_EX_sink_ODS_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.examples.overload.3_: + @echo Running Overload 3 Example programs ... + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + +test.component: build.test.component test.component_ + +test.performance: build.test.performance test.performance_ + +test.scratch: build.test.scratch test.scratch_ + +test.unit: build.test.unit test.unit_ + +test.examples: build.examples test.examples_ + +test.examples.overload.3: build.examples.overload.3 test.examples.overload.3_ + +test: test.unit test.component + +test.all: build.all test test.performance test.scratch test.examples +test-all: test.all + + + +# clean targets + +clean.libs.core: + @echo cleaning Core libraries ... + @-if exist core.*.obj $(RM) core.*.obj + @-if exist core.*.pdb $(RM) core.*.pdb + @-if exist core.*.manifest $(RM) core.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.loki.safefmt: + @echo cleaning Loki.SafeFormat libraries ... + @-if exist loki.safefmt.*.obj $(RM) loki.safefmt.*.obj + @-if exist loki.safefmt.*.pdb $(RM) loki.safefmt.*.pdb + @-if exist loki.safefmt.*.manifest $(RM) loki.safefmt.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.shwild: + @echo cleaning shwild libraries ... + @-if exist shwild.*.obj $(RM) shwild.*.obj + @-if exist shwild.*.pdb $(RM) shwild.*.pdb + @-if exist shwild.*.manifest $(RM) shwild.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.xtests: + @echo cleaning xTests libraries ... + @-if exist xtests.*.obj $(RM) xtests.*.obj + @-if exist xtests.*.pdb $(RM) xtests.*.pdb + @-if exist xtests.*.manifest $(RM) xtests.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs: clean.libs.core clean.libs.loki.safefmt clean.libs.shwild clean.libs.xtests + +clean.bitbucket: + @echo cleaning Bitbucket programs ... + @-if exist $(TARG_BITBUCKET_MT_D) $(RM) $(TARG_BITBUCKET_MT_D) + @-if exist $(OBJ_BITBUCKET_MT_D) $(RM) $(OBJ_BITBUCKET_MT_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_D_W) $(RM) $(TARG_BITBUCKET_MT_D_W) + @-if exist $(OBJ_BITBUCKET_MT_D_W) $(RM) $(OBJ_BITBUCKET_MT_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D) $(RM) $(TARG_BITBUCKET_DLL_D) + @-if exist $(OBJ_BITBUCKET_DLL_D) $(RM) $(OBJ_BITBUCKET_DLL_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D_W) $(RM) $(TARG_BITBUCKET_DLL_D_W) + @-if exist $(OBJ_BITBUCKET_DLL_D_W) $(RM) $(OBJ_BITBUCKET_DLL_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R) $(RM) $(TARG_BITBUCKET_DLL_R) + @-if exist $(OBJ_BITBUCKET_DLL_R) $(RM) $(OBJ_BITBUCKET_DLL_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R_W) $(RM) $(TARG_BITBUCKET_DLL_R_W) + @-if exist $(OBJ_BITBUCKET_DLL_R_W) $(RM) $(OBJ_BITBUCKET_DLL_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R) $(RM) $(TARG_BITBUCKET_MT_R) + @-if exist $(OBJ_BITBUCKET_MT_R) $(RM) $(OBJ_BITBUCKET_MT_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R_W) $(RM) $(TARG_BITBUCKET_MT_R_W) + @-if exist $(OBJ_BITBUCKET_MT_R_W) $(RM) $(OBJ_BITBUCKET_MT_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + +clean.examples: + @echo cleaning Example programs ... + @-if exist $(TARG_EX_boolean_MT_D) $(RM) $(TARG_EX_boolean_MT_D) + @-if exist $(OBJ_EX_boolean_MT_D) $(RM) $(OBJ_EX_boolean_MT_D) + @-if exist $(TARG_EX_character_MT_D) $(RM) $(TARG_EX_character_MT_D) + @-if exist $(OBJ_EX_character_MT_D) $(RM) $(OBJ_EX_character_MT_D) + @-if exist $(TARG_EX_reals_MT_D) $(RM) $(TARG_EX_reals_MT_D) + @-if exist $(OBJ_EX_reals_MT_D) $(RM) $(OBJ_EX_reals_MT_D) + @-if exist $(TARG_EX_voidptrs_MT_D) $(RM) $(TARG_EX_voidptrs_MT_D) + @-if exist $(OBJ_EX_voidptrs_MT_D) $(RM) $(OBJ_EX_voidptrs_MT_D) + @-if exist $(TARG_EX_ff_101_MT_D) $(RM) $(TARG_EX_ff_101_MT_D) + @-if exist $(OBJ_EX_ff_101_MT_D) $(RM) $(OBJ_EX_ff_101_MT_D) + @-if exist $(TARG_EX_ff_101_nons_MT_D) $(RM) $(TARG_EX_ff_101_nons_MT_D) + @-if exist $(OBJ_EX_ff_101_nons_MT_D) $(RM) $(OBJ_EX_ff_101_nons_MT_D) + @-if exist $(TARG_EX_flush_MT_D) $(RM) $(TARG_EX_flush_MT_D) + @-if exist $(OBJ_EX_flush_MT_D) $(RM) $(OBJ_EX_flush_MT_D) + @-if exist $(TARG_EX_ins_hex_MT_D) $(RM) $(TARG_EX_ins_hex_MT_D) + @-if exist $(OBJ_EX_ins_hex_MT_D) $(RM) $(OBJ_EX_ins_hex_MT_D) + @-if exist $(TARG_EX_ins_integers_MT_D) $(RM) $(TARG_EX_ins_integers_MT_D) + @-if exist $(OBJ_EX_ins_integers_MT_D) $(RM) $(OBJ_EX_ins_integers_MT_D) + @-if exist $(TARG_EX_ins_WLIs_MT_D) $(RM) $(TARG_EX_ins_WLIs_MT_D) + @-if exist $(OBJ_EX_ins_WLIs_MT_D) $(RM) $(OBJ_EX_ins_WLIs_MT_D) + @-if exist $(TARG_EX_ins_reals_MT_D) $(RM) $(TARG_EX_ins_reals_MT_D) + @-if exist $(OBJ_EX_ins_reals_MT_D) $(RM) $(OBJ_EX_ins_reals_MT_D) + @-if exist $(TARG_EX_itr_fmt_MT_D) $(RM) $(TARG_EX_itr_fmt_MT_D) + @-if exist $(OBJ_EX_itr_fmt_MT_D) $(RM) $(OBJ_EX_itr_fmt_MT_D) + @-if exist $(TARG_EX_ovld2_filt_MT_D) $(RM) $(TARG_EX_ovld2_filt_MT_D) + @-if exist $(OBJ_EX_ovld2_filt_MT_D) $(RM) $(OBJ_EX_ovld2_filt_MT_D) + @-if exist $(TARG_EX_ovld2_ins_MT_D) $(RM) $(TARG_EX_ovld2_ins_MT_D) + @-if exist $(OBJ_EX_ovld2_ins_MT_D) $(RM) $(OBJ_EX_ovld2_ins_MT_D) + @-if exist $(TARG_EX_ovld2_sas_MT_D) $(RM) $(TARG_EX_ovld2_sas_MT_D) + @-if exist $(OBJ_EX_ovld2_sas_MT_D) $(RM) $(OBJ_EX_ovld2_sas_MT_D) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D) $(RM) $(TARG_EX_ovld3_fpcol_MT_D) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D) + @-if exist $(TARG_EX_ovld3_tabs_MT_D) $(RM) $(TARG_EX_ovld3_tabs_MT_D) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D) $(RM) $(OBJ_EX_ovld3_tabs_MT_D) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D) $(RM) $(TARG_EX_ovld3_svrlg_MT_D) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D) + @-if exist $(TARG_EX_ovld3_rcins_MT_D) $(RM) $(TARG_EX_ovld3_rcins_MT_D) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D) $(RM) $(OBJ_EX_ovld3_rcins_MT_D) + @-if exist $(TARG_EX_pad_hash_MT_D) $(RM) $(TARG_EX_pad_hash_MT_D) + @-if exist $(OBJ_EX_pad_hash_MT_D) $(RM) $(OBJ_EX_pad_hash_MT_D) + @-if exist $(TARG_EX_sink_cstr_MT_D) $(RM) $(TARG_EX_sink_cstr_MT_D) + @-if exist $(OBJ_EX_sink_cstr_MT_D) $(RM) $(OBJ_EX_sink_cstr_MT_D) + @-if exist $(TARG_EX_sink_ODS_MT_D) $(RM) $(TARG_EX_sink_ODS_MT_D) + @-if exist $(OBJ_EX_sink_ODS_MT_D) $(RM) $(OBJ_EX_sink_ODS_MT_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_D_W) $(RM) $(TARG_EX_boolean_MT_D_W) + @-if exist $(OBJ_EX_boolean_MT_D_W) $(RM) $(OBJ_EX_boolean_MT_D_W) + @-if exist $(TARG_EX_character_MT_D_W) $(RM) $(TARG_EX_character_MT_D_W) + @-if exist $(OBJ_EX_character_MT_D_W) $(RM) $(OBJ_EX_character_MT_D_W) + @-if exist $(TARG_EX_reals_MT_D_W) $(RM) $(TARG_EX_reals_MT_D_W) + @-if exist $(OBJ_EX_reals_MT_D_W) $(RM) $(OBJ_EX_reals_MT_D_W) + @-if exist $(TARG_EX_voidptrs_MT_D_W) $(RM) $(TARG_EX_voidptrs_MT_D_W) + @-if exist $(OBJ_EX_voidptrs_MT_D_W) $(RM) $(OBJ_EX_voidptrs_MT_D_W) + @-if exist $(TARG_EX_ff_101_MT_D_W) $(RM) $(TARG_EX_ff_101_MT_D_W) + @-if exist $(OBJ_EX_ff_101_MT_D_W) $(RM) $(OBJ_EX_ff_101_MT_D_W) + @-if exist $(TARG_EX_ff_101_nons_MT_D_W) $(RM) $(TARG_EX_ff_101_nons_MT_D_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_D_W) $(RM) $(OBJ_EX_ff_101_nons_MT_D_W) + @-if exist $(TARG_EX_flush_MT_D_W) $(RM) $(TARG_EX_flush_MT_D_W) + @-if exist $(OBJ_EX_flush_MT_D_W) $(RM) $(OBJ_EX_flush_MT_D_W) + @-if exist $(TARG_EX_ins_hex_MT_D_W) $(RM) $(TARG_EX_ins_hex_MT_D_W) + @-if exist $(OBJ_EX_ins_hex_MT_D_W) $(RM) $(OBJ_EX_ins_hex_MT_D_W) + @-if exist $(TARG_EX_ins_integers_MT_D_W) $(RM) $(TARG_EX_ins_integers_MT_D_W) + @-if exist $(OBJ_EX_ins_integers_MT_D_W) $(RM) $(OBJ_EX_ins_integers_MT_D_W) + @-if exist $(TARG_EX_ins_WLIs_MT_D_W) $(RM) $(TARG_EX_ins_WLIs_MT_D_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_D_W) $(RM) $(OBJ_EX_ins_WLIs_MT_D_W) + @-if exist $(TARG_EX_ins_reals_MT_D_W) $(RM) $(TARG_EX_ins_reals_MT_D_W) + @-if exist $(OBJ_EX_ins_reals_MT_D_W) $(RM) $(OBJ_EX_ins_reals_MT_D_W) + @-if exist $(TARG_EX_itr_fmt_MT_D_W) $(RM) $(TARG_EX_itr_fmt_MT_D_W) + @-if exist $(OBJ_EX_itr_fmt_MT_D_W) $(RM) $(OBJ_EX_itr_fmt_MT_D_W) + @-if exist $(TARG_EX_ovld2_filt_MT_D_W) $(RM) $(TARG_EX_ovld2_filt_MT_D_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_D_W) $(RM) $(OBJ_EX_ovld2_filt_MT_D_W) + @-if exist $(TARG_EX_ovld2_ins_MT_D_W) $(RM) $(TARG_EX_ovld2_ins_MT_D_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_D_W) $(RM) $(OBJ_EX_ovld2_ins_MT_D_W) + @-if exist $(TARG_EX_ovld2_sas_MT_D_W) $(RM) $(TARG_EX_ovld2_sas_MT_D_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_D_W) $(RM) $(OBJ_EX_ovld2_sas_MT_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_D_W) $(RM) $(TARG_EX_ovld3_tabs_MT_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_D_W) $(RM) $(TARG_EX_ovld3_rcins_MT_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_D_W) + @-if exist $(TARG_EX_pad_hash_MT_D_W) $(RM) $(TARG_EX_pad_hash_MT_D_W) + @-if exist $(OBJ_EX_pad_hash_MT_D_W) $(RM) $(OBJ_EX_pad_hash_MT_D_W) + @-if exist $(TARG_EX_sink_cstr_MT_D_W) $(RM) $(TARG_EX_sink_cstr_MT_D_W) + @-if exist $(OBJ_EX_sink_cstr_MT_D_W) $(RM) $(OBJ_EX_sink_cstr_MT_D_W) + @-if exist $(TARG_EX_sink_ODS_MT_D_W) $(RM) $(TARG_EX_sink_ODS_MT_D_W) + @-if exist $(OBJ_EX_sink_ODS_MT_D_W) $(RM) $(OBJ_EX_sink_ODS_MT_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D) $(RM) $(TARG_EX_boolean_DLL_D) + @-if exist $(OBJ_EX_boolean_DLL_D) $(RM) $(OBJ_EX_boolean_DLL_D) + @-if exist $(TARG_EX_character_DLL_D) $(RM) $(TARG_EX_character_DLL_D) + @-if exist $(OBJ_EX_character_DLL_D) $(RM) $(OBJ_EX_character_DLL_D) + @-if exist $(TARG_EX_reals_DLL_D) $(RM) $(TARG_EX_reals_DLL_D) + @-if exist $(OBJ_EX_reals_DLL_D) $(RM) $(OBJ_EX_reals_DLL_D) + @-if exist $(TARG_EX_voidptrs_DLL_D) $(RM) $(TARG_EX_voidptrs_DLL_D) + @-if exist $(OBJ_EX_voidptrs_DLL_D) $(RM) $(OBJ_EX_voidptrs_DLL_D) + @-if exist $(TARG_EX_ff_101_DLL_D) $(RM) $(TARG_EX_ff_101_DLL_D) + @-if exist $(OBJ_EX_ff_101_DLL_D) $(RM) $(OBJ_EX_ff_101_DLL_D) + @-if exist $(TARG_EX_ff_101_nons_DLL_D) $(RM) $(TARG_EX_ff_101_nons_DLL_D) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D) $(RM) $(OBJ_EX_ff_101_nons_DLL_D) + @-if exist $(TARG_EX_flush_DLL_D) $(RM) $(TARG_EX_flush_DLL_D) + @-if exist $(OBJ_EX_flush_DLL_D) $(RM) $(OBJ_EX_flush_DLL_D) + @-if exist $(TARG_EX_ins_hex_DLL_D) $(RM) $(TARG_EX_ins_hex_DLL_D) + @-if exist $(OBJ_EX_ins_hex_DLL_D) $(RM) $(OBJ_EX_ins_hex_DLL_D) + @-if exist $(TARG_EX_ins_integers_DLL_D) $(RM) $(TARG_EX_ins_integers_DLL_D) + @-if exist $(OBJ_EX_ins_integers_DLL_D) $(RM) $(OBJ_EX_ins_integers_DLL_D) + @-if exist $(TARG_EX_ins_WLIs_DLL_D) $(RM) $(TARG_EX_ins_WLIs_DLL_D) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D) $(RM) $(OBJ_EX_ins_WLIs_DLL_D) + @-if exist $(TARG_EX_ins_reals_DLL_D) $(RM) $(TARG_EX_ins_reals_DLL_D) + @-if exist $(OBJ_EX_ins_reals_DLL_D) $(RM) $(OBJ_EX_ins_reals_DLL_D) + @-if exist $(TARG_EX_itr_fmt_DLL_D) $(RM) $(TARG_EX_itr_fmt_DLL_D) + @-if exist $(OBJ_EX_itr_fmt_DLL_D) $(RM) $(OBJ_EX_itr_fmt_DLL_D) + @-if exist $(TARG_EX_ovld2_filt_DLL_D) $(RM) $(TARG_EX_ovld2_filt_DLL_D) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D) $(RM) $(OBJ_EX_ovld2_filt_DLL_D) + @-if exist $(TARG_EX_ovld2_ins_DLL_D) $(RM) $(TARG_EX_ovld2_ins_DLL_D) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D) $(RM) $(OBJ_EX_ovld2_ins_DLL_D) + @-if exist $(TARG_EX_ovld2_sas_DLL_D) $(RM) $(TARG_EX_ovld2_sas_DLL_D) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D) $(RM) $(OBJ_EX_ovld2_sas_DLL_D) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D) $(RM) $(TARG_EX_ovld3_tabs_DLL_D) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D) $(RM) $(TARG_EX_ovld3_rcins_DLL_D) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D) + @-if exist $(TARG_EX_pad_hash_DLL_D) $(RM) $(TARG_EX_pad_hash_DLL_D) + @-if exist $(OBJ_EX_pad_hash_DLL_D) $(RM) $(OBJ_EX_pad_hash_DLL_D) + @-if exist $(TARG_EX_sink_cstr_DLL_D) $(RM) $(TARG_EX_sink_cstr_DLL_D) + @-if exist $(OBJ_EX_sink_cstr_DLL_D) $(RM) $(OBJ_EX_sink_cstr_DLL_D) + @-if exist $(TARG_EX_sink_ODS_DLL_D) $(RM) $(TARG_EX_sink_ODS_DLL_D) + @-if exist $(OBJ_EX_sink_ODS_DLL_D) $(RM) $(OBJ_EX_sink_ODS_DLL_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D_W) $(RM) $(TARG_EX_boolean_DLL_D_W) + @-if exist $(OBJ_EX_boolean_DLL_D_W) $(RM) $(OBJ_EX_boolean_DLL_D_W) + @-if exist $(TARG_EX_character_DLL_D_W) $(RM) $(TARG_EX_character_DLL_D_W) + @-if exist $(OBJ_EX_character_DLL_D_W) $(RM) $(OBJ_EX_character_DLL_D_W) + @-if exist $(TARG_EX_reals_DLL_D_W) $(RM) $(TARG_EX_reals_DLL_D_W) + @-if exist $(OBJ_EX_reals_DLL_D_W) $(RM) $(OBJ_EX_reals_DLL_D_W) + @-if exist $(TARG_EX_voidptrs_DLL_D_W) $(RM) $(TARG_EX_voidptrs_DLL_D_W) + @-if exist $(OBJ_EX_voidptrs_DLL_D_W) $(RM) $(OBJ_EX_voidptrs_DLL_D_W) + @-if exist $(TARG_EX_ff_101_DLL_D_W) $(RM) $(TARG_EX_ff_101_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_DLL_D_W) $(RM) $(OBJ_EX_ff_101_DLL_D_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_D_W) $(RM) $(TARG_EX_ff_101_nons_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_D_W) + @-if exist $(TARG_EX_flush_DLL_D_W) $(RM) $(TARG_EX_flush_DLL_D_W) + @-if exist $(OBJ_EX_flush_DLL_D_W) $(RM) $(OBJ_EX_flush_DLL_D_W) + @-if exist $(TARG_EX_ins_hex_DLL_D_W) $(RM) $(TARG_EX_ins_hex_DLL_D_W) + @-if exist $(OBJ_EX_ins_hex_DLL_D_W) $(RM) $(OBJ_EX_ins_hex_DLL_D_W) + @-if exist $(TARG_EX_ins_integers_DLL_D_W) $(RM) $(TARG_EX_ins_integers_DLL_D_W) + @-if exist $(OBJ_EX_ins_integers_DLL_D_W) $(RM) $(OBJ_EX_ins_integers_DLL_D_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_D_W) $(RM) $(TARG_EX_ins_WLIs_DLL_D_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_D_W) + @-if exist $(TARG_EX_ins_reals_DLL_D_W) $(RM) $(TARG_EX_ins_reals_DLL_D_W) + @-if exist $(OBJ_EX_ins_reals_DLL_D_W) $(RM) $(OBJ_EX_ins_reals_DLL_D_W) + @-if exist $(TARG_EX_itr_fmt_DLL_D_W) $(RM) $(TARG_EX_itr_fmt_DLL_D_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_D_W) $(RM) $(OBJ_EX_itr_fmt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_D_W) $(RM) $(TARG_EX_ovld2_filt_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_D_W) $(RM) $(TARG_EX_ovld2_ins_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_D_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_D_W) $(RM) $(TARG_EX_ovld2_sas_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D_W) + @-if exist $(TARG_EX_pad_hash_DLL_D_W) $(RM) $(TARG_EX_pad_hash_DLL_D_W) + @-if exist $(OBJ_EX_pad_hash_DLL_D_W) $(RM) $(OBJ_EX_pad_hash_DLL_D_W) + @-if exist $(TARG_EX_sink_cstr_DLL_D_W) $(RM) $(TARG_EX_sink_cstr_DLL_D_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_D_W) $(RM) $(OBJ_EX_sink_cstr_DLL_D_W) + @-if exist $(TARG_EX_sink_ODS_DLL_D_W) $(RM) $(TARG_EX_sink_ODS_DLL_D_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_D_W) $(RM) $(OBJ_EX_sink_ODS_DLL_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R) $(RM) $(TARG_EX_boolean_DLL_R) + @-if exist $(OBJ_EX_boolean_DLL_R) $(RM) $(OBJ_EX_boolean_DLL_R) + @-if exist $(TARG_EX_character_DLL_R) $(RM) $(TARG_EX_character_DLL_R) + @-if exist $(OBJ_EX_character_DLL_R) $(RM) $(OBJ_EX_character_DLL_R) + @-if exist $(TARG_EX_reals_DLL_R) $(RM) $(TARG_EX_reals_DLL_R) + @-if exist $(OBJ_EX_reals_DLL_R) $(RM) $(OBJ_EX_reals_DLL_R) + @-if exist $(TARG_EX_voidptrs_DLL_R) $(RM) $(TARG_EX_voidptrs_DLL_R) + @-if exist $(OBJ_EX_voidptrs_DLL_R) $(RM) $(OBJ_EX_voidptrs_DLL_R) + @-if exist $(TARG_EX_ff_101_DLL_R) $(RM) $(TARG_EX_ff_101_DLL_R) + @-if exist $(OBJ_EX_ff_101_DLL_R) $(RM) $(OBJ_EX_ff_101_DLL_R) + @-if exist $(TARG_EX_ff_101_nons_DLL_R) $(RM) $(TARG_EX_ff_101_nons_DLL_R) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R) $(RM) $(OBJ_EX_ff_101_nons_DLL_R) + @-if exist $(TARG_EX_flush_DLL_R) $(RM) $(TARG_EX_flush_DLL_R) + @-if exist $(OBJ_EX_flush_DLL_R) $(RM) $(OBJ_EX_flush_DLL_R) + @-if exist $(TARG_EX_ins_hex_DLL_R) $(RM) $(TARG_EX_ins_hex_DLL_R) + @-if exist $(OBJ_EX_ins_hex_DLL_R) $(RM) $(OBJ_EX_ins_hex_DLL_R) + @-if exist $(TARG_EX_ins_integers_DLL_R) $(RM) $(TARG_EX_ins_integers_DLL_R) + @-if exist $(OBJ_EX_ins_integers_DLL_R) $(RM) $(OBJ_EX_ins_integers_DLL_R) + @-if exist $(TARG_EX_ins_WLIs_DLL_R) $(RM) $(TARG_EX_ins_WLIs_DLL_R) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R) $(RM) $(OBJ_EX_ins_WLIs_DLL_R) + @-if exist $(TARG_EX_ins_reals_DLL_R) $(RM) $(TARG_EX_ins_reals_DLL_R) + @-if exist $(OBJ_EX_ins_reals_DLL_R) $(RM) $(OBJ_EX_ins_reals_DLL_R) + @-if exist $(TARG_EX_itr_fmt_DLL_R) $(RM) $(TARG_EX_itr_fmt_DLL_R) + @-if exist $(OBJ_EX_itr_fmt_DLL_R) $(RM) $(OBJ_EX_itr_fmt_DLL_R) + @-if exist $(TARG_EX_ovld2_filt_DLL_R) $(RM) $(TARG_EX_ovld2_filt_DLL_R) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R) $(RM) $(OBJ_EX_ovld2_filt_DLL_R) + @-if exist $(TARG_EX_ovld2_ins_DLL_R) $(RM) $(TARG_EX_ovld2_ins_DLL_R) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R) $(RM) $(OBJ_EX_ovld2_ins_DLL_R) + @-if exist $(TARG_EX_ovld2_sas_DLL_R) $(RM) $(TARG_EX_ovld2_sas_DLL_R) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R) $(RM) $(OBJ_EX_ovld2_sas_DLL_R) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R) $(RM) $(TARG_EX_ovld3_tabs_DLL_R) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R) $(RM) $(TARG_EX_ovld3_rcins_DLL_R) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R) + @-if exist $(TARG_EX_pad_hash_DLL_R) $(RM) $(TARG_EX_pad_hash_DLL_R) + @-if exist $(OBJ_EX_pad_hash_DLL_R) $(RM) $(OBJ_EX_pad_hash_DLL_R) + @-if exist $(TARG_EX_sink_cstr_DLL_R) $(RM) $(TARG_EX_sink_cstr_DLL_R) + @-if exist $(OBJ_EX_sink_cstr_DLL_R) $(RM) $(OBJ_EX_sink_cstr_DLL_R) + @-if exist $(TARG_EX_sink_ODS_DLL_R) $(RM) $(TARG_EX_sink_ODS_DLL_R) + @-if exist $(OBJ_EX_sink_ODS_DLL_R) $(RM) $(OBJ_EX_sink_ODS_DLL_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R_W) $(RM) $(TARG_EX_boolean_DLL_R_W) + @-if exist $(OBJ_EX_boolean_DLL_R_W) $(RM) $(OBJ_EX_boolean_DLL_R_W) + @-if exist $(TARG_EX_character_DLL_R_W) $(RM) $(TARG_EX_character_DLL_R_W) + @-if exist $(OBJ_EX_character_DLL_R_W) $(RM) $(OBJ_EX_character_DLL_R_W) + @-if exist $(TARG_EX_reals_DLL_R_W) $(RM) $(TARG_EX_reals_DLL_R_W) + @-if exist $(OBJ_EX_reals_DLL_R_W) $(RM) $(OBJ_EX_reals_DLL_R_W) + @-if exist $(TARG_EX_voidptrs_DLL_R_W) $(RM) $(TARG_EX_voidptrs_DLL_R_W) + @-if exist $(OBJ_EX_voidptrs_DLL_R_W) $(RM) $(OBJ_EX_voidptrs_DLL_R_W) + @-if exist $(TARG_EX_ff_101_DLL_R_W) $(RM) $(TARG_EX_ff_101_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_DLL_R_W) $(RM) $(OBJ_EX_ff_101_DLL_R_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_R_W) $(RM) $(TARG_EX_ff_101_nons_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_R_W) + @-if exist $(TARG_EX_flush_DLL_R_W) $(RM) $(TARG_EX_flush_DLL_R_W) + @-if exist $(OBJ_EX_flush_DLL_R_W) $(RM) $(OBJ_EX_flush_DLL_R_W) + @-if exist $(TARG_EX_ins_hex_DLL_R_W) $(RM) $(TARG_EX_ins_hex_DLL_R_W) + @-if exist $(OBJ_EX_ins_hex_DLL_R_W) $(RM) $(OBJ_EX_ins_hex_DLL_R_W) + @-if exist $(TARG_EX_ins_integers_DLL_R_W) $(RM) $(TARG_EX_ins_integers_DLL_R_W) + @-if exist $(OBJ_EX_ins_integers_DLL_R_W) $(RM) $(OBJ_EX_ins_integers_DLL_R_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_R_W) $(RM) $(TARG_EX_ins_WLIs_DLL_R_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_R_W) + @-if exist $(TARG_EX_ins_reals_DLL_R_W) $(RM) $(TARG_EX_ins_reals_DLL_R_W) + @-if exist $(OBJ_EX_ins_reals_DLL_R_W) $(RM) $(OBJ_EX_ins_reals_DLL_R_W) + @-if exist $(TARG_EX_itr_fmt_DLL_R_W) $(RM) $(TARG_EX_itr_fmt_DLL_R_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_R_W) $(RM) $(OBJ_EX_itr_fmt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_R_W) $(RM) $(TARG_EX_ovld2_filt_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_R_W) $(RM) $(TARG_EX_ovld2_ins_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_R_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_R_W) $(RM) $(TARG_EX_ovld2_sas_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R_W) + @-if exist $(TARG_EX_pad_hash_DLL_R_W) $(RM) $(TARG_EX_pad_hash_DLL_R_W) + @-if exist $(OBJ_EX_pad_hash_DLL_R_W) $(RM) $(OBJ_EX_pad_hash_DLL_R_W) + @-if exist $(TARG_EX_sink_cstr_DLL_R_W) $(RM) $(TARG_EX_sink_cstr_DLL_R_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_R_W) $(RM) $(OBJ_EX_sink_cstr_DLL_R_W) + @-if exist $(TARG_EX_sink_ODS_DLL_R_W) $(RM) $(TARG_EX_sink_ODS_DLL_R_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_R_W) $(RM) $(OBJ_EX_sink_ODS_DLL_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R) $(RM) $(TARG_EX_boolean_MT_R) + @-if exist $(OBJ_EX_boolean_MT_R) $(RM) $(OBJ_EX_boolean_MT_R) + @-if exist $(TARG_EX_character_MT_R) $(RM) $(TARG_EX_character_MT_R) + @-if exist $(OBJ_EX_character_MT_R) $(RM) $(OBJ_EX_character_MT_R) + @-if exist $(TARG_EX_reals_MT_R) $(RM) $(TARG_EX_reals_MT_R) + @-if exist $(OBJ_EX_reals_MT_R) $(RM) $(OBJ_EX_reals_MT_R) + @-if exist $(TARG_EX_voidptrs_MT_R) $(RM) $(TARG_EX_voidptrs_MT_R) + @-if exist $(OBJ_EX_voidptrs_MT_R) $(RM) $(OBJ_EX_voidptrs_MT_R) + @-if exist $(TARG_EX_ff_101_MT_R) $(RM) $(TARG_EX_ff_101_MT_R) + @-if exist $(OBJ_EX_ff_101_MT_R) $(RM) $(OBJ_EX_ff_101_MT_R) + @-if exist $(TARG_EX_ff_101_nons_MT_R) $(RM) $(TARG_EX_ff_101_nons_MT_R) + @-if exist $(OBJ_EX_ff_101_nons_MT_R) $(RM) $(OBJ_EX_ff_101_nons_MT_R) + @-if exist $(TARG_EX_flush_MT_R) $(RM) $(TARG_EX_flush_MT_R) + @-if exist $(OBJ_EX_flush_MT_R) $(RM) $(OBJ_EX_flush_MT_R) + @-if exist $(TARG_EX_ins_hex_MT_R) $(RM) $(TARG_EX_ins_hex_MT_R) + @-if exist $(OBJ_EX_ins_hex_MT_R) $(RM) $(OBJ_EX_ins_hex_MT_R) + @-if exist $(TARG_EX_ins_integers_MT_R) $(RM) $(TARG_EX_ins_integers_MT_R) + @-if exist $(OBJ_EX_ins_integers_MT_R) $(RM) $(OBJ_EX_ins_integers_MT_R) + @-if exist $(TARG_EX_ins_WLIs_MT_R) $(RM) $(TARG_EX_ins_WLIs_MT_R) + @-if exist $(OBJ_EX_ins_WLIs_MT_R) $(RM) $(OBJ_EX_ins_WLIs_MT_R) + @-if exist $(TARG_EX_ins_reals_MT_R) $(RM) $(TARG_EX_ins_reals_MT_R) + @-if exist $(OBJ_EX_ins_reals_MT_R) $(RM) $(OBJ_EX_ins_reals_MT_R) + @-if exist $(TARG_EX_itr_fmt_MT_R) $(RM) $(TARG_EX_itr_fmt_MT_R) + @-if exist $(OBJ_EX_itr_fmt_MT_R) $(RM) $(OBJ_EX_itr_fmt_MT_R) + @-if exist $(TARG_EX_ovld2_filt_MT_R) $(RM) $(TARG_EX_ovld2_filt_MT_R) + @-if exist $(OBJ_EX_ovld2_filt_MT_R) $(RM) $(OBJ_EX_ovld2_filt_MT_R) + @-if exist $(TARG_EX_ovld2_ins_MT_R) $(RM) $(TARG_EX_ovld2_ins_MT_R) + @-if exist $(OBJ_EX_ovld2_ins_MT_R) $(RM) $(OBJ_EX_ovld2_ins_MT_R) + @-if exist $(TARG_EX_ovld2_sas_MT_R) $(RM) $(TARG_EX_ovld2_sas_MT_R) + @-if exist $(OBJ_EX_ovld2_sas_MT_R) $(RM) $(OBJ_EX_ovld2_sas_MT_R) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R) $(RM) $(TARG_EX_ovld3_fpcol_MT_R) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R) + @-if exist $(TARG_EX_ovld3_tabs_MT_R) $(RM) $(TARG_EX_ovld3_tabs_MT_R) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R) $(RM) $(OBJ_EX_ovld3_tabs_MT_R) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R) $(RM) $(TARG_EX_ovld3_svrlg_MT_R) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R) + @-if exist $(TARG_EX_ovld3_rcins_MT_R) $(RM) $(TARG_EX_ovld3_rcins_MT_R) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R) $(RM) $(OBJ_EX_ovld3_rcins_MT_R) + @-if exist $(TARG_EX_pad_hash_MT_R) $(RM) $(TARG_EX_pad_hash_MT_R) + @-if exist $(OBJ_EX_pad_hash_MT_R) $(RM) $(OBJ_EX_pad_hash_MT_R) + @-if exist $(TARG_EX_sink_cstr_MT_R) $(RM) $(TARG_EX_sink_cstr_MT_R) + @-if exist $(OBJ_EX_sink_cstr_MT_R) $(RM) $(OBJ_EX_sink_cstr_MT_R) + @-if exist $(TARG_EX_sink_ODS_MT_R) $(RM) $(TARG_EX_sink_ODS_MT_R) + @-if exist $(OBJ_EX_sink_ODS_MT_R) $(RM) $(OBJ_EX_sink_ODS_MT_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R_W) $(RM) $(TARG_EX_boolean_MT_R_W) + @-if exist $(OBJ_EX_boolean_MT_R_W) $(RM) $(OBJ_EX_boolean_MT_R_W) + @-if exist $(TARG_EX_character_MT_R_W) $(RM) $(TARG_EX_character_MT_R_W) + @-if exist $(OBJ_EX_character_MT_R_W) $(RM) $(OBJ_EX_character_MT_R_W) + @-if exist $(TARG_EX_reals_MT_R_W) $(RM) $(TARG_EX_reals_MT_R_W) + @-if exist $(OBJ_EX_reals_MT_R_W) $(RM) $(OBJ_EX_reals_MT_R_W) + @-if exist $(TARG_EX_voidptrs_MT_R_W) $(RM) $(TARG_EX_voidptrs_MT_R_W) + @-if exist $(OBJ_EX_voidptrs_MT_R_W) $(RM) $(OBJ_EX_voidptrs_MT_R_W) + @-if exist $(TARG_EX_ff_101_MT_R_W) $(RM) $(TARG_EX_ff_101_MT_R_W) + @-if exist $(OBJ_EX_ff_101_MT_R_W) $(RM) $(OBJ_EX_ff_101_MT_R_W) + @-if exist $(TARG_EX_ff_101_nons_MT_R_W) $(RM) $(TARG_EX_ff_101_nons_MT_R_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_R_W) $(RM) $(OBJ_EX_ff_101_nons_MT_R_W) + @-if exist $(TARG_EX_flush_MT_R_W) $(RM) $(TARG_EX_flush_MT_R_W) + @-if exist $(OBJ_EX_flush_MT_R_W) $(RM) $(OBJ_EX_flush_MT_R_W) + @-if exist $(TARG_EX_ins_hex_MT_R_W) $(RM) $(TARG_EX_ins_hex_MT_R_W) + @-if exist $(OBJ_EX_ins_hex_MT_R_W) $(RM) $(OBJ_EX_ins_hex_MT_R_W) + @-if exist $(TARG_EX_ins_integers_MT_R_W) $(RM) $(TARG_EX_ins_integers_MT_R_W) + @-if exist $(OBJ_EX_ins_integers_MT_R_W) $(RM) $(OBJ_EX_ins_integers_MT_R_W) + @-if exist $(TARG_EX_ins_WLIs_MT_R_W) $(RM) $(TARG_EX_ins_WLIs_MT_R_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_R_W) $(RM) $(OBJ_EX_ins_WLIs_MT_R_W) + @-if exist $(TARG_EX_ins_reals_MT_R_W) $(RM) $(TARG_EX_ins_reals_MT_R_W) + @-if exist $(OBJ_EX_ins_reals_MT_R_W) $(RM) $(OBJ_EX_ins_reals_MT_R_W) + @-if exist $(TARG_EX_itr_fmt_MT_R_W) $(RM) $(TARG_EX_itr_fmt_MT_R_W) + @-if exist $(OBJ_EX_itr_fmt_MT_R_W) $(RM) $(OBJ_EX_itr_fmt_MT_R_W) + @-if exist $(TARG_EX_ovld2_filt_MT_R_W) $(RM) $(TARG_EX_ovld2_filt_MT_R_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_R_W) $(RM) $(OBJ_EX_ovld2_filt_MT_R_W) + @-if exist $(TARG_EX_ovld2_ins_MT_R_W) $(RM) $(TARG_EX_ovld2_ins_MT_R_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_R_W) $(RM) $(OBJ_EX_ovld2_ins_MT_R_W) + @-if exist $(TARG_EX_ovld2_sas_MT_R_W) $(RM) $(TARG_EX_ovld2_sas_MT_R_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_R_W) $(RM) $(OBJ_EX_ovld2_sas_MT_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_R_W) $(RM) $(TARG_EX_ovld3_tabs_MT_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_R_W) $(RM) $(TARG_EX_ovld3_rcins_MT_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_R_W) + @-if exist $(TARG_EX_pad_hash_MT_R_W) $(RM) $(TARG_EX_pad_hash_MT_R_W) + @-if exist $(OBJ_EX_pad_hash_MT_R_W) $(RM) $(OBJ_EX_pad_hash_MT_R_W) + @-if exist $(TARG_EX_sink_cstr_MT_R_W) $(RM) $(TARG_EX_sink_cstr_MT_R_W) + @-if exist $(OBJ_EX_sink_cstr_MT_R_W) $(RM) $(OBJ_EX_sink_cstr_MT_R_W) + @-if exist $(TARG_EX_sink_ODS_MT_R_W) $(RM) $(TARG_EX_sink_ODS_MT_R_W) + @-if exist $(OBJ_EX_sink_ODS_MT_R_W) $(RM) $(OBJ_EX_sink_ODS_MT_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + +clean.samples: + @echo cleaning Sample programs ... + +clean.test.component: + @echo cleaning Test::Component files ... + @-if exist $(TARG_TEST_COMP_file_MT_D) $(RM) $(TARG_TEST_COMP_file_MT_D) + @-if exist $(OBJ_TEST_COMP_file_MT_D) $(RM) $(OBJ_TEST_COMP_file_MT_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D) $(RM) $(TARG_TEST_COMP_ins_int_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D) $(RM) $(TARG_TEST_COMP_null_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D) $(RM) $(TARG_TEST_COMP_write_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D) + @-if exist $(TARG_TEST_COMP_x_1_MT_D) $(RM) $(TARG_TEST_COMP_x_1_MT_D) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D) $(RM) $(OBJ_TEST_COMP_x_1_MT_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_D_W) $(RM) $(TARG_TEST_COMP_file_MT_D_W) + @-if exist $(OBJ_TEST_COMP_file_MT_D_W) $(RM) $(OBJ_TEST_COMP_file_MT_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_D_W) $(RM) $(TARG_TEST_COMP_x_1_MT_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D) $(RM) $(TARG_TEST_COMP_file_DLL_D) + @-if exist $(OBJ_TEST_COMP_file_DLL_D) $(RM) $(OBJ_TEST_COMP_file_DLL_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D) $(RM) $(TARG_TEST_COMP_x_1_DLL_D) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D_W) $(RM) $(TARG_TEST_COMP_file_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_D_W) $(RM) $(OBJ_TEST_COMP_file_DLL_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R) $(RM) $(TARG_TEST_COMP_file_DLL_R) + @-if exist $(OBJ_TEST_COMP_file_DLL_R) $(RM) $(OBJ_TEST_COMP_file_DLL_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R) $(RM) $(TARG_TEST_COMP_x_1_DLL_R) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R_W) $(RM) $(TARG_TEST_COMP_file_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_R_W) $(RM) $(OBJ_TEST_COMP_file_DLL_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R) $(RM) $(TARG_TEST_COMP_file_MT_R) + @-if exist $(OBJ_TEST_COMP_file_MT_R) $(RM) $(OBJ_TEST_COMP_file_MT_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R) $(RM) $(TARG_TEST_COMP_ins_int_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R) $(RM) $(TARG_TEST_COMP_null_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R) $(RM) $(TARG_TEST_COMP_write_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R) + @-if exist $(TARG_TEST_COMP_x_1_MT_R) $(RM) $(TARG_TEST_COMP_x_1_MT_R) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R) $(RM) $(OBJ_TEST_COMP_x_1_MT_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R_W) $(RM) $(TARG_TEST_COMP_file_MT_R_W) + @-if exist $(OBJ_TEST_COMP_file_MT_R_W) $(RM) $(OBJ_TEST_COMP_file_MT_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_R_W) $(RM) $(TARG_TEST_COMP_x_1_MT_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + +clean.test.performance: + @echo cleaning Test::Performance files ... + @-if exist $(TARG_TEST_PERF_scen1_MT_D) $(RM) $(TARG_TEST_PERF_scen1_MT_D) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D) $(RM) $(OBJ_TEST_PERF_scen1_MT_D) + @-if exist $(TARG_TEST_PERF_scen2_MT_D) $(RM) $(TARG_TEST_PERF_scen2_MT_D) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D) $(RM) $(OBJ_TEST_PERF_scen2_MT_D) + @-if exist $(TARG_TEST_PERF_scen3_MT_D) $(RM) $(TARG_TEST_PERF_scen3_MT_D) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D) $(RM) $(OBJ_TEST_PERF_scen3_MT_D) + @-if exist $(TARG_TEST_PERF_scen4_MT_D) $(RM) $(TARG_TEST_PERF_scen4_MT_D) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D) $(RM) $(OBJ_TEST_PERF_scen4_MT_D) + @-if exist $(TARG_TEST_PERF_scen5_MT_D) $(RM) $(TARG_TEST_PERF_scen5_MT_D) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D) $(RM) $(OBJ_TEST_PERF_scen5_MT_D) + @-if exist $(TARG_TEST_PERF_scen6_MT_D) $(RM) $(TARG_TEST_PERF_scen6_MT_D) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D) $(RM) $(OBJ_TEST_PERF_scen6_MT_D) + @-if exist $(TARG_TEST_PERF_scen7_MT_D) $(RM) $(TARG_TEST_PERF_scen7_MT_D) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D) $(RM) $(OBJ_TEST_PERF_scen7_MT_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D) $(RM) $(TARG_TEST_PERF_scen8_MT_D) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D) $(RM) $(OBJ_TEST_PERF_scen8_MT_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_D_W) $(RM) $(TARG_TEST_PERF_scen1_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_D_W) $(RM) $(TARG_TEST_PERF_scen2_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_D_W) $(RM) $(TARG_TEST_PERF_scen3_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_D_W) $(RM) $(TARG_TEST_PERF_scen4_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_D_W) $(RM) $(TARG_TEST_PERF_scen5_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_D_W) $(RM) $(TARG_TEST_PERF_scen6_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_D_W) $(RM) $(TARG_TEST_PERF_scen7_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D_W) $(RM) $(TARG_TEST_PERF_scen8_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D) $(RM) $(TARG_TEST_PERF_scen1_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D) $(RM) $(TARG_TEST_PERF_scen2_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D) $(RM) $(TARG_TEST_PERF_scen3_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D) $(RM) $(TARG_TEST_PERF_scen4_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D) $(RM) $(TARG_TEST_PERF_scen5_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D) $(RM) $(TARG_TEST_PERF_scen6_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D) $(RM) $(TARG_TEST_PERF_scen7_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D) $(RM) $(TARG_TEST_PERF_scen8_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R) $(RM) $(TARG_TEST_PERF_scen1_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R) $(RM) $(TARG_TEST_PERF_scen2_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R) $(RM) $(TARG_TEST_PERF_scen3_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R) $(RM) $(TARG_TEST_PERF_scen4_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R) $(RM) $(TARG_TEST_PERF_scen5_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R) $(RM) $(TARG_TEST_PERF_scen6_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R) $(RM) $(TARG_TEST_PERF_scen7_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R) $(RM) $(TARG_TEST_PERF_scen8_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R) $(RM) $(TARG_TEST_PERF_scen1_MT_R) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R) $(RM) $(OBJ_TEST_PERF_scen1_MT_R) + @-if exist $(TARG_TEST_PERF_scen2_MT_R) $(RM) $(TARG_TEST_PERF_scen2_MT_R) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R) $(RM) $(OBJ_TEST_PERF_scen2_MT_R) + @-if exist $(TARG_TEST_PERF_scen3_MT_R) $(RM) $(TARG_TEST_PERF_scen3_MT_R) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R) $(RM) $(OBJ_TEST_PERF_scen3_MT_R) + @-if exist $(TARG_TEST_PERF_scen4_MT_R) $(RM) $(TARG_TEST_PERF_scen4_MT_R) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R) $(RM) $(OBJ_TEST_PERF_scen4_MT_R) + @-if exist $(TARG_TEST_PERF_scen5_MT_R) $(RM) $(TARG_TEST_PERF_scen5_MT_R) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R) $(RM) $(OBJ_TEST_PERF_scen5_MT_R) + @-if exist $(TARG_TEST_PERF_scen6_MT_R) $(RM) $(TARG_TEST_PERF_scen6_MT_R) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R) $(RM) $(OBJ_TEST_PERF_scen6_MT_R) + @-if exist $(TARG_TEST_PERF_scen7_MT_R) $(RM) $(TARG_TEST_PERF_scen7_MT_R) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R) $(RM) $(OBJ_TEST_PERF_scen7_MT_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R) $(RM) $(TARG_TEST_PERF_scen8_MT_R) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R) $(RM) $(OBJ_TEST_PERF_scen8_MT_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R_W) $(RM) $(TARG_TEST_PERF_scen1_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_R_W) $(RM) $(TARG_TEST_PERF_scen2_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_R_W) $(RM) $(TARG_TEST_PERF_scen3_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_R_W) $(RM) $(TARG_TEST_PERF_scen4_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_R_W) $(RM) $(TARG_TEST_PERF_scen5_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_R_W) $(RM) $(TARG_TEST_PERF_scen6_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_R_W) $(RM) $(TARG_TEST_PERF_scen7_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R_W) $(RM) $(TARG_TEST_PERF_scen8_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + +clean.test.scratch: + @echo cleaning Test::Scratch files ... + @-if exist $(TARG_TEST_SCRT_file_MT_D) $(RM) $(TARG_TEST_SCRT_file_MT_D) + @-if exist $(OBJ_TEST_SCRT_file_MT_D) $(RM) $(OBJ_TEST_SCRT_file_MT_D) + @-if exist $(PDB_TEST_SCRT_file_MT_D) $(RM) $(PDB_TEST_SCRT_file_MT_D) + @-if exist $(TARG_TEST_SCRT_speech_MT_D) $(RM) $(TARG_TEST_SCRT_speech_MT_D) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D) $(RM) $(OBJ_TEST_SCRT_speech_MT_D) + @-if exist $(PDB_TEST_SCRT_speech_MT_D) $(RM) $(PDB_TEST_SCRT_speech_MT_D) + @-if exist $(TARG_TEST_SCRT_file_MT_D_W) $(RM) $(TARG_TEST_SCRT_file_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_D_W) $(RM) $(OBJ_TEST_SCRT_file_MT_D_W) + @-if exist $(PDB_TEST_SCRT_file_MT_D_W) $(RM) $(PDB_TEST_SCRT_file_MT_D_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_D_W) $(RM) $(TARG_TEST_SCRT_speech_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_D_W) + @-if exist $(PDB_TEST_SCRT_speech_MT_D_W) $(RM) $(PDB_TEST_SCRT_speech_MT_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_D) $(RM) $(TARG_TEST_SCRT_file_DLL_D) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D) $(RM) $(OBJ_TEST_SCRT_file_DLL_D) + @-if exist $(PDB_TEST_SCRT_file_DLL_D) $(RM) $(PDB_TEST_SCRT_file_DLL_D) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D) $(RM) $(TARG_TEST_SCRT_speech_DLL_D) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D) $(RM) $(PDB_TEST_SCRT_speech_DLL_D) + @-if exist $(TARG_TEST_SCRT_file_DLL_D_W) $(RM) $(TARG_TEST_SCRT_file_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_file_DLL_D_W) $(RM) $(PDB_TEST_SCRT_file_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D_W) $(RM) $(PDB_TEST_SCRT_speech_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_R) $(RM) $(TARG_TEST_SCRT_file_DLL_R) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R) $(RM) $(OBJ_TEST_SCRT_file_DLL_R) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R) $(RM) $(TARG_TEST_SCRT_speech_DLL_R) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R) + @-if exist $(TARG_TEST_SCRT_file_DLL_R_W) $(RM) $(TARG_TEST_SCRT_file_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_file_MT_R) $(RM) $(TARG_TEST_SCRT_file_MT_R) + @-if exist $(OBJ_TEST_SCRT_file_MT_R) $(RM) $(OBJ_TEST_SCRT_file_MT_R) + @-if exist $(TARG_TEST_SCRT_speech_MT_R) $(RM) $(TARG_TEST_SCRT_speech_MT_R) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R) $(RM) $(OBJ_TEST_SCRT_speech_MT_R) + @-if exist $(TARG_TEST_SCRT_file_MT_R_W) $(RM) $(TARG_TEST_SCRT_file_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_R_W) $(RM) $(OBJ_TEST_SCRT_file_MT_R_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_R_W) $(RM) $(TARG_TEST_SCRT_speech_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_R_W) + +clean.test.unit: + @echo cleaning Test::Unit files ... + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D) $(RM) $(TARG_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R) $(RM) $(TARG_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + +clean.test: clean.test.unit clean.test.component clean.test.scratch clean.test.performance + +clean: clean.libs clean.bitbucket clean.examples clean.samples clean.test + +clean.all: clean + + +############################################################################ +# build targets + +################################################## +# Libraries + +######################################## +# Main library - 'fastformat.X.core.a' + +$(LIB_CORE_MT_D): $(OBJ_CORE_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D) + +$(OBJ_cr_api_MT_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_D_W): $(OBJ_CORE_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D_W) + +$(OBJ_cr_api_MT_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D): $(OBJ_CORE_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D) + +$(OBJ_cr_api_DLL_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D_W): $(OBJ_CORE_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D_W) + +$(OBJ_cr_api_DLL_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R): $(OBJ_CORE_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R) + +$(OBJ_cr_api_DLL_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R_W): $(OBJ_CORE_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R_W) + +$(OBJ_cr_api_DLL_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R): $(OBJ_CORE_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R) + +$(OBJ_cr_api_MT_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R_W): $(OBJ_CORE_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R_W) + +$(OBJ_cr_api_MT_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +######################################## +# Loki.SafeFormat library - 'loki.safefmt.X.core.a' + +!ifdef LOKI + +$(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp: $(PROJ_MAKEFILE_NAME) + @-if not exist $(LOKI_SFMT_SRC_DIR)\NUL mkdir $(LOKI_SFMT_SRC_DIR) + echo #include "../src/SafeFormat.cpp" > $@ + +$(LIB_LOKI_SFMT_MT_D): $(OBJ_LOKI_SFMT_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D) + +$(OBJ_lok_sfmt_MT_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_LOKI_SFMT_INCLUDES_MT_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_D_W): $(OBJ_LOKI_SFMT_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D_W) + +$(OBJ_lok_sfmt_MT_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D): $(OBJ_LOKI_SFMT_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D) + +$(OBJ_lok_sfmt_DLL_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D_W): $(OBJ_LOKI_SFMT_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D_W) + +$(OBJ_lok_sfmt_DLL_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R): $(OBJ_LOKI_SFMT_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R) + +$(OBJ_lok_sfmt_DLL_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R_W): $(OBJ_LOKI_SFMT_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R_W) + +$(OBJ_lok_sfmt_DLL_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R): $(OBJ_LOKI_SFMT_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R) + +$(OBJ_lok_sfmt_MT_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_LOKI_SFMT_INCLUDES_MT_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R_W): $(OBJ_LOKI_SFMT_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R_W) + +$(OBJ_lok_sfmt_MT_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +!endif # LOKI +######################################## +# shwild library - 'shwild.X.core.a' + +$(LIB_SHWILD_MT_D): $(OBJ_SHWILD_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D) + +$(OBJ_shw_api_MT_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_D_W): $(OBJ_SHWILD_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D_W) + +$(OBJ_shw_api_MT_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D): $(OBJ_SHWILD_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D) + +$(OBJ_shw_api_DLL_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D_W): $(OBJ_SHWILD_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D_W) + +$(OBJ_shw_api_DLL_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R): $(OBJ_SHWILD_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R) + +$(OBJ_shw_api_DLL_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R_W): $(OBJ_SHWILD_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R_W) + +$(OBJ_shw_api_DLL_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R): $(OBJ_SHWILD_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R) + +$(OBJ_shw_api_MT_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R_W): $(OBJ_SHWILD_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R_W) + +$(OBJ_shw_api_MT_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +######################################## +# xTests library - 'xtests.X.ff.core.a' + +$(LIB_XTESTS_MT_D): $(OBJ_XTESTS_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D) + +$(OBJ_xts_core_MT_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_XTESTS_INCLUDES_MT_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_D_W): $(OBJ_XTESTS_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D_W) + +$(OBJ_xts_core_MT_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_XTESTS_INCLUDES_MT_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D): $(OBJ_XTESTS_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D) + +$(OBJ_xts_core_DLL_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_XTESTS_INCLUDES_DLL_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D_W): $(OBJ_XTESTS_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D_W) + +$(OBJ_xts_core_DLL_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_XTESTS_INCLUDES_DLL_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R): $(OBJ_XTESTS_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R) + +$(OBJ_xts_core_DLL_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_XTESTS_INCLUDES_DLL_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R_W): $(OBJ_XTESTS_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R_W) + +$(OBJ_xts_core_DLL_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_XTESTS_INCLUDES_DLL_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R): $(OBJ_XTESTS_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R) + +$(OBJ_xts_core_MT_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_XTESTS_INCLUDES_MT_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R_W): $(OBJ_XTESTS_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R_W) + +$(OBJ_xts_core_MT_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_XTESTS_INCLUDES_MT_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +################################################## +# Examples: BitBucket + +$(BITBUCKET_SRC_DIR)\bitbucket.cpp: #$(PROJ_MAKEFILE_NAME) + @-if not exist $(BITBUCKET_SRC_DIR)\NUL mkdir $(BITBUCKET_SRC_DIR) + echo #include "stdio.h" > $@ + echo int main(int, char**) { int ch; for(; EOF != (ch = getchar());) { ; } return 0; } >> $@ + +$(TARG_BITBUCKET_MT_D): $(OBJ_BITBUCKET_MT_D) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_BITBUCKET_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_BITBUCKET_MT_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_D_W): $(OBJ_BITBUCKET_MT_D_W) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_BITBUCKET_MT_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D): $(OBJ_BITBUCKET_DLL_D) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_BITBUCKET_DLL_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D_W): $(OBJ_BITBUCKET_DLL_D_W) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_BITBUCKET_DLL_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R): $(OBJ_BITBUCKET_DLL_R) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_BITBUCKET_DLL_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R_W): $(OBJ_BITBUCKET_DLL_R_W) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_BITBUCKET_DLL_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R): $(OBJ_BITBUCKET_MT_R) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_BITBUCKET_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_BITBUCKET_MT_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R_W): $(OBJ_BITBUCKET_MT_R_W) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_BITBUCKET_MT_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + + +################################################## +# Examples + +$(TARG_EX_boolean_MT_D): \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_boolean_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_D_W): \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_boolean_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D): \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_boolean_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D_W): \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_boolean_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R): \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_boolean_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R_W): \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_boolean_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R): \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_boolean_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R_W): \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_boolean_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_character_MT_D): \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_character_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_D_W): \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_character_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D): \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_character_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D_W): \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_character_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R): \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_character_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R_W): \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_character_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R): \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_character_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R_W): \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_character_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_reals_MT_D): \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_D_W): \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_reals_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D): \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D_W): \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_reals_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R): \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R_W): \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_reals_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R): \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R_W): \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_reals_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_voidptrs_MT_D): \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_voidptrs_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_D_W): \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_voidptrs_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D): \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_voidptrs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D_W): \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_voidptrs_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R): \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_voidptrs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R_W): \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_voidptrs_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R): \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_voidptrs_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R_W): \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_voidptrs_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_ff_101_MT_D): \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_D): \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_R): \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_MT_R): \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_nons_MT_D): \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_nons_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_D): \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_nons_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_R): \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_nons_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_MT_R): \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_nons_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_flush_MT_D): \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_flush_MT_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_D): \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_flush_DLL_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_R): \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_flush_DLL_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_MT_R): \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_flush_MT_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_ins_hex_MT_D): \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_hex_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_D): \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_hex_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_R): \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_hex_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_MT_R): \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_hex_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_integers_MT_D): \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_integers_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_D): \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_integers_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_R): \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_integers_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_MT_R): \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_integers_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_reals_MT_D): \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_D): \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_R): \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_MT_R): \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + + +$(TARG_EX_ins_WLIs_MT_D): \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_WLIs_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_D): \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_WLIs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_R): \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_WLIs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_MT_R): \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_WLIs_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + + +$(TARG_EX_itr_fmt_MT_D): \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_itr_fmt_MT_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_D_W): \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_itr_fmt_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D): \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_itr_fmt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D_W): \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_itr_fmt_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R): \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_itr_fmt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R_W): \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_itr_fmt_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R): \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_itr_fmt_MT_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R_W): \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARG_BITBUCKET_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_itr_fmt_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_ovld2_filt_MT_D): \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_filt_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_D): \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_filt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_R): \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_filt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_MT_R): \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_filt_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + + +$(TARG_EX_ovld2_ins_MT_D): \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_ins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_D): \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_ins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_R): \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_ins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_MT_R): \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_ins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_sas_MT_D): \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_sas_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_D): \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_sas_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_R): \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_sas_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_MT_R): \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_sas_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld3_fpcol_MT_D): \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_fpcol_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_D): \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_fpcol_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_R): \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_fpcol_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_MT_R): \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_fpcol_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_tabs_MT_D): \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_tabs_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_D): \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_tabs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_R): \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_tabs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_MT_R): \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_tabs_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_svrlg_MT_D): \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_svrlg_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_D): \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_svrlg_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_R): \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_svrlg_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_MT_R): \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_svrlg_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_rcins_MT_D): \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_rcins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_D): \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_rcins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_R): \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_rcins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_MT_R): \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_rcins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_pad_hash_MT_D): \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_pad_hash_MT_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_D): \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_pad_hash_DLL_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_R): \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_pad_hash_DLL_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_MT_R): \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_pad_hash_MT_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_sink_cstr_MT_D): \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_cstr_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_D): \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_cstr_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_R): \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_cstr_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_MT_R): \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_cstr_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_ODS_MT_D): \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_ODS_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_D_W): \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_sink_ODS_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D): \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_ODS_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D_W): \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_sink_ODS_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R): \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_ODS_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R_W): \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_sink_ODS_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R): \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_ODS_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R_W): \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_sink_ODS_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +################################################## +# Tests + +############################## +# Component Tests + +$(TARG_TEST_COMP_snk_a_b_MT_D): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_a_b_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_a_b_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_file_MT_D): \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_file_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_D_W): \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_file_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D): \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_file_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D_W): \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_file_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R): \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_file_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R_W): \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_file_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R): \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_file_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R_W): \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_file_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_fmt_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_fmt_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_write_sink_MT_D): \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_write_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_D_W): \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_write_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D): \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_write_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_write_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R): \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_write_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_write_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R): \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_write_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R_W): \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_write_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_x_1_MT_D): \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_x_1_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_D_W): \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_x_1_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D): \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_x_1_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D_W): \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_x_1_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R): \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_x_1_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R_W): \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_x_1_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R): \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_x_1_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R_W): \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_x_1_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_null_sink_MT_D): \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_null_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_D_W): \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_null_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D): \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_null_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_null_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R): \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_null_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_null_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R): \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_null_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R_W): \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_null_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D): \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_hex_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_hex_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_hex_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_hex_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R): \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_hex_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_hex_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_int_MT_D): \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_int_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_D_W): \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_int_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D): \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_int_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_int_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R): \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_int_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_int_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R): \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_int_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R_W): \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_int_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_real_MT_D): \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_real_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_D_W): \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_real_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D): \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_real_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_real_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R): \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_real_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_real_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R): \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_real_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R_W): \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_real_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_itr_fmt_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_itr_fmt_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_ostm_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_ostm_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +############################## +# Performance Tests + +$(TARG_TEST_PERF_scen1_MT_D): \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen1_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_D_W): \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen1_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D): \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen1_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D_W): \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen1_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R): \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen1_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R_W): \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen1_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R): \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen1_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R_W): \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen1_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen2_MT_D): \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen2_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_D_W): \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen2_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D): \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen2_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D_W): \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen2_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R): \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen2_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R_W): \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen2_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R): \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen2_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R_W): \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen2_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen3_MT_D): \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen3_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_D_W): \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen3_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D): \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen3_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D_W): \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen3_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R): \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen3_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R_W): \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen3_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R): \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen3_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R_W): \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen3_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen4_MT_D): \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen4_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_D_W): \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen4_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D): \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen4_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D_W): \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen4_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R): \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen4_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R_W): \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen4_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R): \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen4_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R_W): \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen4_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen5_MT_D): \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen5_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_D_W): \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen5_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D): \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen5_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D_W): \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen5_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R): \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen5_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R_W): \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen5_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R): \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen5_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R_W): \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen5_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen6_MT_D): \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen6_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_D_W): \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen6_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D): \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen6_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D_W): \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen6_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R): \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen6_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R_W): \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen6_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R): \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen6_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R_W): \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen6_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen7_MT_D): \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen7_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_D_W): \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen7_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D): \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen7_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D_W): \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen7_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R): \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen7_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R_W): \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen7_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R): \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen7_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R_W): \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen7_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +!ifdef BOOST +$(TARG_TEST_PERF_scen8_MT_D): \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen8_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_D_W): \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen8_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D): \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen8_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D_W): \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen8_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R): \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen8_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R_W): \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen8_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R): \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen8_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R_W): \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen8_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +!endif # BOOST +############################## +# Scratch Tests + +$(TARG_TEST_SCRT_file_MT_D): \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_file_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_D_W): \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_file_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D): \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_file_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D_W): \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_file_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R): \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_file_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R_W): \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_file_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R): \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_file_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R_W): \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_file_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_speech_MT_D): \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_speech_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_D_W): \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_speech_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D): \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_speech_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D_W): \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_speech_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R): \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_speech_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R_W): \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_speech_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R): \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_speech_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R_W): \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_speech_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +############################## +# Unit Tests + + +$(TARG_TEST_UNIT_api_acsllen_MT_D): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_crlf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_crlf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_init_MT_D): \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_init_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_D_W): \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_init_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D): \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_init_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_init_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R): \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_init_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_init_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R): \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_init_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R_W): \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_init_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ods_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ods_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_ch_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_ch_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D): \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_i_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_i_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_i_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_i_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R): \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_i_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_i_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_shim_fi_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_shim_fi_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D): \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_null_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_null_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_null_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_null_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R): \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_null_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_null_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +############################### end of file ################################ diff --git a/build/vc12.unixem/makefile b/build/vc12.unixem/makefile new file mode 100644 index 0000000..e1511f8 --- /dev/null +++ b/build/vc12.unixem/makefile @@ -0,0 +1,3745 @@ +############################################################################ +# File: makefile +# +# Purpose: Makefile for the FastFormat project, for the Visual C++ 12 (x86 UNIXem) +# compiler, on UNIX +# +# Created: 22nd December 2007 +# Updated: 22nd September 2015 +# +############################################################################ + + +############################################################################ +# Environment check +# + +!ifndef STLSOFT +!error STLSOFT must be defined +!endif # !STLSOFT +!ifndef PTHREADS_WIN32 +!error PTHREADS_WIN32 must be defined +!endif # !PTHREADS_WIN32 +!ifndef UNIXEM +!error UNIXEM must be defined +!endif # !UNIXEM + +############################################################################ +# Symbols + +######################################## +# Project & compiler version + +COMP_TAG = vc12 +ARCH_TAG = +OS_TAG = .unix +PROJ_TAG = fastformat +PROJ_VER_MAJOR = 0 +PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) + +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) + +SHWILD_VER_MAJOR = 0 +SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XTESTS_VER_MAJOR = 0 +XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) + +UNIXEM_VER_MAJOR = 1 +UNIXEM_TAG_VER = unixem.$(XTESTS_VER_MAJOR) + +PROJ_MAKEFILE_NAME = makefile + +######################################## +# Testing verbosity + +TEST_VERBOSITY = 2 + +######################################## +# Directories + +PROJ_BASE_DIR = ..\.. +PROJ_BIN_DIR = $(PROJ_BASE_DIR)\bin +PROJ_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +PROJ_LIB_DIR = $(PROJ_BASE_DIR)\lib +PROJ_OBJ_DIR = . +PROJ_SRC_DIR = $(PROJ_BASE_DIR)\src +PROJ_EXAMPLES_DIR = $(PROJ_BASE_DIR)\examples +PROJ_SAMPLES_DIR = $(PROJ_BASE_DIR)\samples +PROJ_BUNDLES_DIR = $(PROJ_BASE_DIR)\test\data\bundles +PROJ_TEST_ROOT_DIR = $(PROJ_BASE_DIR)\test +PROJ_TEST_COMPONENT_DIR = $(PROJ_TEST_ROOT_DIR)\component +PROJ_TEST_PERFORMANCE_DIR = $(PROJ_TEST_ROOT_DIR)\performance +PROJ_TEST_SCRATCH_DIR = $(PROJ_TEST_ROOT_DIR)\scratch +PROJ_TEST_UNIT_DIR = $(PROJ_TEST_ROOT_DIR)\unit + +BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket + +LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt + +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR + +######################################## +# tools + +CC = cl +CPPC = cl +AR = lib +LD = link +RM = del /f +CP = copy +RC = rc + + +# Lib + +LIBS_LEADER = + +# lib paths + +ENV_LIBPATHS = +COMMON_LIBPATHS = -libpath:$(SYNESIS_DEV)/lib + + +LIBPATHS=\ +$(LIBS_LEADER)\ +-libpath:"$(PTHREADS_WIN32)\lib"\ +-libpath:"$(UNIXEM)\lib"\ +$(COMMON_LIBPATHS)\ +$(ENV_LIBPATHS)\ +\ + + +# lib names + +TARGET_LIB_MT_UX = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib ws2_32.lib pthreadVC.lib \ + \ + +TARGET_LIB_MT_UX_DEBUG = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib ws2_32.lib pthreadVC.lib \ + \ + + +# #defines + +COMMON_DEFS = -DUNIX + +CUSTOM_DEFS = + +# includes + +COMMON_INCLUDES = -I$(SYNESIS_DEV)/include -I$(SYNESIS_DEV)/libraries/include + + + +# CPPC flags + +CPPC_F_NOLOGO = -nologo +CPPC_F_COMPILE_ONLY = -c +CPPC_F_WARNING_MAX = -W4 -wd4800 +CPPC_F_WARNING_ERR = -WX +CPPC_F_EXCEPTIONS_ON = -EHsc +CPPC_F_EXCEPTIONS_OFF = -wd4530 +CPPC_F_EXCEPTIONS = $(CPPC_F_EXCEPTIONS_ON) +CPPC_F_RTTI_ON = -GR +CPPC_F_RTTI_OFF = -GR- +CPPC_F_RTTI = $(CPPC_F_RTTI_ON) +CPPC_F_MINIMUM_SIZE = -O1 +CPPC_F_MAXIMUM_SPEED = -O2 + +CPPC_FLAGS_BASE =\ + $(CPPC_F_NOLOGO)\ + $(CPPC_F_COMPILE_ONLY)\ + $(CPPC_F_WARNING_MAX)\ + $(CPPC_F_WARNING_ERR)\ + $(CPPC_F_EXCEPTIONS)\ + $(CPPC_F_RTTI)\ + \ + +CPPC_FLAGS_MT_UX =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_UX_DEBUG =\ + $(CPPC_FLAGS_BASE)\ + \ + + +# CPPC defines + +!ifdef FASTFORMAT_NO_NAG +NO_NAG_DEFS = -DFASTFORMAT_NO_VERSION_NAG=1 +!else # ? FASTFORMAT_NO_NAG +NO_NAG_DEFS = +!endif # FASTFORMAT_NO_NAG + +CPPC_DEFS_BASE =\ + $(COMMON_DEFS)\ + $(CUSTOM_DEFS)\ + $(NO_NAG_DEFS)\ + \ + +!ifdef BOOST +DEFINE_BOOST_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_BOOST +!else # BOOST +DEFINE_BOOST_FOR_PERFTEST = +!endif # BOOST + +DEFINE_LOKI_FOR_PERFTEST = + + +CPPC_DEFS_MT_UX =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_UX_DEBUG =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + + + +# CPPC includes + +!ifdef STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = -I"$(STLSOFT_1_10)/include" +!else # STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = +!endif # STLSOFT_1_10 + +CPPC_INCLUDES_BASE=\ + \ + -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ + $(STLSOFT_1_10_INCLUDE)\ + -I$(STLSOFT)\include\ + $(COMMON_INCLUDES)\ + -I"$(PTHREADS_WIN32)\include"\ + -I"$(UNIXEM)\include"\ + \ + +CPPC_CORE_INCLUDES_BASE=\ + -I$(PROJ_SRC_DIR)\ + $(CPPC_INCLUDES_BASE)\ + \ + +!ifdef BOOST +INCLUDE_BOOST_FOR_PERFTEST = -I"$(BOOST)" +!else # BOOST +INCLUDE_BOOST_FOR_PERFTEST = +!endif # BOOST + +INCLUDE_LOKI_FOR_PERFTEST = + + +CPPC_INCLUDES_MT_UX =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_UX_DEBUG =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + + + +CPPC_SHWILD_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_UX =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_UX_DEBUG =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + + +CPPC_XTESTS_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_UX =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_UX_DEBUG =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + + + +# CPPC args (= flags + defines + includes) + +CPPC_ARGS_MT_UX=\ + $(CPPC_FLAGS_MT_UX)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_UX) +CPPC_ARGS_MT_UX_DEBUG=\ + $(CPPC_FLAGS_MT_UX_DEBUG)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX_DEBUG)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_UX_DEBUG) + +CPPC_CORE_ARGS_MT_UX=\ + $(CPPC_FLAGS_MT_UX)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_UX_DEBUG=\ + $(CPPC_FLAGS_MT_UX_DEBUG)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX_DEBUG)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) + + + + +# AR Args + +AR_ARGS = -nologo + + +# LD flags + +LD_F_NOLOGO = -nologo + +LD_FLAGS_BASE =\ + $(LD_F_NOLOGO)\ + \ + +LD_FLAGS_MT_UX =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_UX_DEBUG =\ + $(LD_FLAGS_BASE)\ + \ + + +# LD includes + +LD_INCLUDES_BASE =\ + $(LIBPATHS)\ + \ + +LD_INCLUDES_MT_UX =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_UX_DEBUG =\ + $(LD_INCLUDES_BASE)\ + \ + + +LD_ARGS = + +LD_F_NOLOGO = -nologo + +# LD args + +LD_ARGS_MT_UX = libWinSysLog.$(COMP_TAG).mt.lib unixem.1.$(COMP_TAG).mt.lib -release $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_UX_DEBUG = libWinSysLog.$(COMP_TAG).mt.debug.lib unixem.1.$(COMP_TAG).mt.debug.lib -debug $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) + +############################################################################ +# Headers + +CORE_HEADERS=\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.h\ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\ff.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\implicit_link.h\ + $(PROJ_INCLUDE_DIR)\fastformat\init_codes.h\ + $(PROJ_INCLUDE_DIR)\fastformat\exceptions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\openrj_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\properties_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\winini_bundle.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\format\standard_flags.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_missing_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\mismatched_arguments_scope_base.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\integer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\real.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_e.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_f.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_g.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_i.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\internal\format_element.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\stlsoft.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\string_encoding.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\threading.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\initialiser.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\api_functions.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\helper_functions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\quality\contract.h\ + $(PROJ_INCLUDE_DIR)\fastformat\quality\cover.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\shims\action\fmt_slices\generic_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\fast_integers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\reals.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\void_pointers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ACE_CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\auto_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CComBSTR.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\char_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\c_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\FILE.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\null.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ostream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\OutputDebugString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\shim_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\speech.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\stringstream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\string_array.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\vectored_file.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.first_include.h\ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.last_include.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\util\memory\auto_buffer_selector.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\sinks\helpers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\string\snprintf.h\ + \ + +SHWILD_HEADERS=\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XTESTS_HEADERS=\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ + \ + +############################################################################ +# Names + +############################################################ +# Targets + +######################################## +# Libraries + +# fastformat +LIB_CORE_MT_UX = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.lib +LIB_CORE_MT_UX_DEBUG = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.debug.lib + +# Loki.SafeFormat - performance testing only +LIB_LOKI_SFMT_MT_UX = +LIB_LOKI_SFMT_MT_UX_DEBUG = + +# shwild - testing only +LIB_SHWILD_MT_UX = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_SHWILD_MT_UX_DEBUG = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib + +# xtests - unit/component testing only +LIB_XTESTS_MT_UX = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_XTESTS_MT_UX_DEBUG = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib + +######################################## +# Examples + +TARG_BITBUCKET_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.exe +TARG_BITBUCKET_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.debug.exe + +TARG_EX_boolean_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_character_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_reals_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_voidptrs_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_nons_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_flush_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_hex_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_integers_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_reals_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_itr_fmt_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_filt_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_ins_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_sas_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_fpcol_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_tabs_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_svrlg_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_rcins_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_pad_hash_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_cstr_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe + +TARG_EX_boolean_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_character_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_reals_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_voidptrs_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_nons_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_flush_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_hex_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_integers_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_reals_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_itr_fmt_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_filt_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_ins_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_sas_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_fpcol_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_tabs_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_svrlg_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_rcins_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_pad_hash_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_cstr_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe + + +######################################## +# Tests + +############################## +# Component Tests + +TARG_TEST_COMP_file_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_fmt_sink_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_hex_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_int_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_real_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_itr_fmt_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_null_sink_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_a_b_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_write_sink_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_x_1_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_ostm_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_file_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_int_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_real_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_null_sink_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_write_sink_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_x_1_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################## +# Performance Tests + +TARG_TEST_PERF_scen1_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen2_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen3_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen4_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen5_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen6_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen7_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen1_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen2_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen3_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen4_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen5_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen6_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen7_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################## +# Scratch Tests + +TARG_TEST_SCRT_file_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_vecfile_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.sink.vectored_file.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_file_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_vecfile_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.sink.vectored_file.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################## +# Unit Tests + +TARG_TEST_UNIT_api_acsllen_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_crlf_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_flrpl_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_init_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_ch_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_i_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_shim_fi_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_a_b_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_c_str_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_null_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ostm_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_sstm_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.exe +#TARG_TEST_UNIT_snk_vecfile_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.vec_file.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_init_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.debug.exe +#TARG_TEST_UNIT_snk_vecfile_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.vec_file.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################################################################ +# Objects + +######################################## +# Libraries + +# fastformat + +OBJ_cr_api_MT_UX = $(PROJ_OBJ_DIR)\core.api.mt.obj +OBJ_cr_fsdhndlrs_MT_UX = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.obj +OBJ_cr_fmtcache_MT_UX = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.obj +OBJ_cr_initstr_MT_UX = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.obj +OBJ_cr_mempool_MT_UX = $(PROJ_OBJ_DIR)\core.mempool.mt.obj +OBJ_cr_replacements_MT_UX = $(PROJ_OBJ_DIR)\core.replacements.mt.obj +OBJ_cr_snprintf_MT_UX = $(PROJ_OBJ_DIR)\core.snprintf.mt.obj + +OBJ_CORE_MT_UX = \ + $(OBJ_cr_api_MT_UX)\ + $(OBJ_cr_fsdhndlrs_MT_UX)\ + $(OBJ_cr_fmtcache_MT_UX)\ + $(OBJ_cr_initstr_MT_UX)\ + $(OBJ_cr_mempool_MT_UX)\ + $(OBJ_cr_replacements_MT_UX)\ + $(OBJ_cr_snprintf_MT_UX)\ + \ + +OBJ_cr_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.api.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.obj +OBJ_cr_fmtcache_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.obj +OBJ_cr_initstr_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.obj +OBJ_cr_mempool_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.obj +OBJ_cr_replacements_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.obj +OBJ_cr_snprintf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.obj +PDB_cr_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.api.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.pdb +PDB_cr_fmtcache_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.pdb +PDB_cr_initstr_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.pdb +PDB_cr_mempool_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.pdb +PDB_cr_replacements_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.pdb +PDB_cr_snprintf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.pdb + +OBJ_CORE_MT_UX_DEBUG = \ + $(OBJ_cr_api_MT_UX_DEBUG)\ + $(OBJ_cr_fsdhndlrs_MT_UX_DEBUG)\ + $(OBJ_cr_fmtcache_MT_UX_DEBUG)\ + $(OBJ_cr_initstr_MT_UX_DEBUG)\ + $(OBJ_cr_mempool_MT_UX_DEBUG)\ + $(OBJ_cr_replacements_MT_UX_DEBUG)\ + $(OBJ_cr_snprintf_MT_UX_DEBUG)\ + \ + +PDB_CORE_MT_UX_DEBUG = \ + $(PDB_cr_api_MT_UX_DEBUG)\ + $(PDB_cr_fsdhndlrs_MT_UX_DEBUG)\ + $(PDB_cr_fmtcache_MT_UX_DEBUG)\ + $(PDB_cr_initstr_MT_UX_DEBUG)\ + $(PDB_cr_mempool_MT_UX_DEBUG)\ + $(PDB_cr_replacements_MT_UX_DEBUG)\ + $(PDB_cr_snprintf_MT_UX_DEBUG)\ + \ + + +# Loki.SafeFormat + +OBJ_lok_sfmt_MT_UX = +PDB_lok_sfmt_MT_UX = +OBJ_LOKI_SFMT_MT_UX_PM = +PDB_LOKI_SFMT_MT_UX_PM = +OBJ_lok_sfmt_MT_UX_DEBUG = +PDB_lok_sfmt_MT_UX_DEBUG = +OBJ_LOKI_SFMT_MT_UX_DEBUG_PM = +PDB_LOKI_SFMT_MT_UX_DEBUG_PM = + +# shwild + +OBJ_shw_api_MT_UX = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.obj +OBJ_shw_matches_MT_UX = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.obj +OBJ_shw_pattern_MT_UX = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.obj + +OBJ_SHWILD_MT_UX = \ + $(OBJ_shw_api_MT_UX)\ + $(OBJ_shw_matches_MT_UX)\ + $(OBJ_shw_pattern_MT_UX)\ + \ + +OBJ_shw_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.obj +OBJ_shw_matches_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.obj +OBJ_shw_pattern_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.obj +PDB_shw_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.pdb +PDB_shw_matches_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.pdb +PDB_shw_pattern_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.pdb + +OBJ_SHWILD_MT_UX_DEBUG = \ + $(OBJ_shw_api_MT_UX_DEBUG)\ + $(OBJ_shw_matches_MT_UX_DEBUG)\ + $(OBJ_shw_pattern_MT_UX_DEBUG)\ + \ + +PDB_SHWILD_MT_UX_DEBUG = \ + $(PDB_shw_api_MT_UX_DEBUG)\ + $(PDB_shw_matches_MT_UX_DEBUG)\ + $(PDB_shw_pattern_MT_UX_DEBUG)\ + \ + + +# xtests + +OBJ_xts_core_MT_UX = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.obj + +OBJ_XTESTS_MT_UX = \ + $(OBJ_xts_core_MT_UX)\ + \ + +OBJ_xts_core_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.obj +PDB_xts_core_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.pdb + +OBJ_XTESTS_MT_UX_DEBUG = \ + $(OBJ_xts_core_MT_UX_DEBUG)\ + \ + +PDB_CORE_MT_UX_DEBUG = \ + $(PDB_xts_core_MT_UX_DEBUG)\ + \ + + +######################################## +# Examples + +OBJ_BITBUCKET_MT_UX = $(PROJ_OBJ_DIR)\bitbucket.mt.obj +OBJ_BITBUCKET_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\bitbucket.mt.debug.obj + +OBJ_EX_boolean_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.obj +OBJ_EX_character_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.character.mt.obj +OBJ_EX_reals_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.obj +OBJ_EX_voidptrs_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.obj +OBJ_EX_ff_101_MT_UX = $(PROJ_OBJ_DIR)\example.ff_101.mt.obj +OBJ_EX_ff_101_nons_MT_UX = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.obj +OBJ_EX_flush_MT_UX = $(PROJ_OBJ_DIR)\example.flush.mt.obj +OBJ_EX_ins_hex_MT_UX = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.obj +OBJ_EX_ins_integers_MT_UX = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.obj +OBJ_EX_ins_reals_MT_UX = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.obj +OBJ_EX_itr_fmt_MT_UX = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.obj +OBJ_EX_ovld2_filt_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.obj +OBJ_EX_ovld2_ins_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.obj +OBJ_EX_ovld2_sas_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.obj +OBJ_EX_ovld3_fpcol_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.obj +OBJ_EX_ovld3_tabs_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.obj +OBJ_EX_ovld3_svrlg_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.obj +OBJ_EX_ovld3_rcins_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.obj +OBJ_EX_pad_hash_MT_UX = $(PROJ_OBJ_DIR)\example.pad.hash.mt.obj +OBJ_EX_sink_cstr_MT_UX = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.obj + +OBJ_EX_boolean_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.debug.obj +OBJ_EX_character_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.character.mt.debug.obj +OBJ_EX_reals_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.debug.obj +OBJ_EX_voidptrs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.debug.obj +OBJ_EX_ff_101_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ff_101.mt.debug.obj +OBJ_EX_ff_101_nons_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.debug.obj +OBJ_EX_flush_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.flush.mt.debug.obj +OBJ_EX_ins_hex_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.debug.obj +OBJ_EX_ins_integers_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.debug.obj +OBJ_EX_ins_reals_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.debug.obj +OBJ_EX_itr_fmt_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.debug.obj +OBJ_EX_ovld2_filt_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.debug.obj +OBJ_EX_ovld2_ins_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.debug.obj +OBJ_EX_ovld2_sas_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.debug.obj +OBJ_EX_ovld3_fpcol_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.debug.obj +OBJ_EX_ovld3_tabs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.debug.obj +OBJ_EX_ovld3_svrlg_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.debug.obj +OBJ_EX_ovld3_rcins_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.debug.obj +OBJ_EX_pad_hash_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.pad.hash.mt.debug.obj +OBJ_EX_sink_cstr_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.debug.obj + + +######################################## +# Tests + +############################## +# Component Tests + +OBJ_TEST_COMP_file_MT_UX = $(PROJ_OBJ_DIR)\test.component.FILE.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.obj +OBJ_TEST_COMP_ins_hex_MT_UX = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.obj +OBJ_TEST_COMP_ins_int_MT_UX = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.obj +OBJ_TEST_COMP_ins_real_MT_UX = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_UX = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.obj +OBJ_TEST_COMP_null_sink_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.obj +OBJ_TEST_COMP_write_sink_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.obj +OBJ_TEST_COMP_x_1_MT_UX = $(PROJ_OBJ_DIR)\test.component.fsde.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.obj +OBJ_TEST_COMP_file_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.FILE.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.fsde.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.debug.obj + +############################## +# Performance Tests + +OBJ_TEST_PERF_scen1_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.obj +OBJ_TEST_PERF_scen2_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.obj +OBJ_TEST_PERF_scen3_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.obj +OBJ_TEST_PERF_scen4_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.obj +OBJ_TEST_PERF_scen5_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.obj +OBJ_TEST_PERF_scen6_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.obj +OBJ_TEST_PERF_scen7_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.obj +OBJ_TEST_PERF_scen1_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.debug.obj + +############################## +# Scratch Tests + +OBJ_TEST_SCRT_file_MT_UX = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.obj +OBJ_TEST_SCRT_file_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.debug.obj + +OBJ_TEST_SCRT_vecfile_MT_UX = $(PROJ_OBJ_DIR)\test.scratch.sink.vectored_file.mt.obj +OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.scratch.sink.vectored_file.mt.debug.obj + +############################## +# Unit Tests + +OBJ_TEST_UNIT_api_acsllen_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.obj +OBJ_TEST_UNIT_api_init_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_UX = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_UX = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.obj +OBJ_TEST_UNIT_ins_i_MT_UX = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_UX = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.obj +OBJ_TEST_UNIT_snk_null_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.obj +#OBJ_TEST_UNIT_snk_vecfile_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.vec_file.mt.obj + +OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.debug.obj +#OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.vec_file.mt.debug.obj + +################################################## +# Target groups + +######################################## +# Objects + +# fastformat +OBJS_CORE = \ + $(OBJ_CORE_MT_UX)\ + $(OBJ_CORE_MT_UX_DEBUG)\ + \ + +# Loki.SafeFormat +OBJS_LOKI_SFMT = \ + $(OBJ_LOKI_SFMT_MT_UX)\ + $(OBJ_LOKI_SFMT_MT_UX_DEBUG)\ + \ + +# shwild +OBJS_SHWILD = \ + $(OBJ_SHWILD_MT_UX)\ + $(OBJ_SHWILD_MT_UX_DEBUG)\ + \ + +# xtests +OBJS_XTESTS = \ + $(OBJ_XTESTS_MT_UX)\ + $(OBJ_XTESTS_MT_UX_DEBUG)\ + \ + +# Examples +OBJS_EXAMPLE=\ + $(OBJ_EX_boolean_MT_UX)\ + $(OBJ_EX_character_MT_UX)\ + $(OBJ_EX_reals_MT_UX)\ + $(OBJ_EX_voidptrs_MT_UX)\ + $(OBJ_EX_ff_101_MT_UX)\ + $(OBJ_EX_ff_101_nons_MT_UX)\ + $(OBJ_EX_flush_MT_UX)\ + $(OBJ_EX_ins_hex_MT_UX)\ + $(OBJ_EX_ins_integers_MT_UX)\ + $(OBJ_EX_ins_reals_MT_UX)\ + $(OBJ_EX_itr_fmt_MT_UX)\ + $(OBJ_EX_ovld2_filt_MT_UX)\ + $(OBJ_EX_ovld2_ins_MT_UX)\ + $(OBJ_EX_ovld2_sas_MT_UX)\ + $(OBJ_EX_ovld3_fpcol_MT_UX)\ + $(OBJ_EX_ovld3_tabs_MT_UX)\ + $(OBJ_EX_ovld3_svrlg_MT_UX)\ + $(OBJ_EX_ovld3_rcins_MT_UX)\ + $(OBJ_EX_pad_hash_MT_UX)\ + $(OBJ_EX_sink_cstr_MT_UX)\ + $(OBJ_EX_boolean_MT_UX_DEBUG)\ + $(OBJ_EX_character_MT_UX_DEBUG)\ + $(OBJ_EX_reals_MT_UX_DEBUG)\ + $(OBJ_EX_voidptrs_MT_UX_DEBUG)\ + $(OBJ_EX_ff_101_MT_UX_DEBUG)\ + $(OBJ_EX_ff_101_nons_MT_UX_DEBUG)\ + $(OBJ_EX_flush_MT_UX_DEBUG)\ + $(OBJ_EX_ins_hex_MT_UX_DEBUG)\ + $(OBJ_EX_ins_integers_MT_UX_DEBUG)\ + $(OBJ_EX_ins_reals_MT_UX_DEBUG)\ + $(OBJ_EX_itr_fmt_MT_UX_DEBUG)\ + $(OBJ_EX_ovld2_filt_MT_UX_DEBUG)\ + $(OBJ_EX_ovld2_ins_MT_UX_DEBUG)\ + $(OBJ_EX_ovld2_sas_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(OBJ_EX_pad_hash_MT_UX_DEBUG)\ + $(OBJ_EX_sink_cstr_MT_UX_DEBUG)\ + \ + +# Component Tests +OBJS_TEST_COMPONENT=\ + $(OBJ_TEST_COMP_file_MT_UX)\ + $(OBJ_TEST_COMP_fmt_sink_MT_UX)\ + $(OBJ_TEST_COMP_ins_hex_MT_UX)\ + $(OBJ_TEST_COMP_ins_int_MT_UX)\ + $(OBJ_TEST_COMP_ins_real_MT_UX)\ + $(OBJ_TEST_COMP_itr_fmt_MT_UX)\ + $(OBJ_TEST_COMP_null_sink_MT_UX)\ + $(OBJ_TEST_COMP_snk_a_b_MT_UX)\ + $(OBJ_TEST_COMP_write_sink_MT_UX)\ + $(OBJ_TEST_COMP_x_1_MT_UX)\ + $(OBJ_TEST_COMP_snk_ostm_MT_UX)\ + $(OBJ_TEST_COMP_file_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + \ + +# Performance Tests +OBJS_TEST_PERFORMANCE_BOOST= + + +OBJS_TEST_PERFORMANCE=\ + $(OBJ_TEST_PERF_scen1_MT_UX)\ + $(OBJ_TEST_PERF_scen2_MT_UX)\ + $(OBJ_TEST_PERF_scen3_MT_UX)\ + $(OBJ_TEST_PERF_scen4_MT_UX)\ + $(OBJ_TEST_PERF_scen5_MT_UX)\ + $(OBJ_TEST_PERF_scen6_MT_UX)\ + $(OBJ_TEST_PERF_scen7_MT_UX)\ + $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(OBJS_TEST_PERFORMANCE_BOOST)\ + \ + +# Scratch Tests +OBJS_TEST_SCRATCH=\ + $(OBJ_TEST_SCRT_file_MT_UX)\ + $(OBJ_TEST_SCRT_vecfile_MT_UX)\ + $(OBJ_TEST_SCRT_file_MT_UX_DEBUG)\ + $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + \ + +# Unit Tests +OBJS_TEST_UNIT=\ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX)\ + $(OBJ_TEST_UNIT_api_crlf_MT_UX)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX)\ + $(OBJ_TEST_UNIT_api_init_MT_UX)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(OBJ_TEST_UNIT_ins_ch_MT_UX)\ + $(OBJ_TEST_UNIT_ins_i_MT_UX)\ + $(OBJ_TEST_UNIT_shim_fi_MT_UX)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX)\ + $(OBJ_TEST_UNIT_snk_null_MT_UX)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + \ + +######################################## +# Libraries + +TARGETS_LIB_CORE = \ + $(LIB_CORE_MT_UX)\ + $(LIB_CORE_MT_UX_DEBUG)\ + \ + +TARGETS_LIB_LOKI_SFMT = \ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + \ + +TARGETS_LIB_SHWILD = \ + $(LIB_SHWILD_MT_UX)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + \ + +TARGETS_LIB_XTESTS = \ + $(LIB_XTESTS_MT_UX)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + \ + +######################################## +# Programs + +TARGETS_BITBUCKET=\ + $(TARG_BITBUCKET_MT_UX)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + \ + +TARGETS_EXAMPLE=\ + $(TARG_EX_boolean_MT_UX)\ + $(TARG_EX_character_MT_UX)\ + $(TARG_EX_reals_MT_UX)\ + $(TARG_EX_voidptrs_MT_UX)\ + $(TARG_EX_ff_101_MT_UX)\ + $(TARG_EX_ff_101_nons_MT_UX)\ + $(TARG_EX_flush_MT_UX)\ + $(TARG_EX_ins_hex_MT_UX)\ + $(TARG_EX_ins_integers_MT_UX)\ + $(TARG_EX_ins_reals_MT_UX)\ + $(TARG_EX_itr_fmt_MT_UX)\ + $(TARG_EX_ovld2_filt_MT_UX)\ + $(TARG_EX_ovld2_ins_MT_UX)\ + $(TARG_EX_ovld2_sas_MT_UX)\ + $(TARG_EX_ovld3_fpcol_MT_UX)\ + $(TARG_EX_ovld3_tabs_MT_UX)\ + $(TARG_EX_ovld3_svrlg_MT_UX)\ + $(TARG_EX_ovld3_rcins_MT_UX)\ + $(TARG_EX_pad_hash_MT_UX)\ + $(TARG_EX_sink_cstr_MT_UX)\ + $(TARG_EX_boolean_MT_UX_DEBUG)\ + $(TARG_EX_character_MT_UX_DEBUG)\ + $(TARG_EX_reals_MT_UX_DEBUG)\ + $(TARG_EX_voidptrs_MT_UX_DEBUG)\ + $(TARG_EX_ff_101_MT_UX_DEBUG)\ + $(TARG_EX_ff_101_nons_MT_UX_DEBUG)\ + $(TARG_EX_flush_MT_UX_DEBUG)\ + $(TARG_EX_ins_hex_MT_UX_DEBUG)\ + $(TARG_EX_ins_integers_MT_UX_DEBUG)\ + $(TARG_EX_ins_reals_MT_UX_DEBUG)\ + $(TARG_EX_itr_fmt_MT_UX_DEBUG)\ + $(TARG_EX_ovld2_filt_MT_UX_DEBUG)\ + $(TARG_EX_ovld2_ins_MT_UX_DEBUG)\ + $(TARG_EX_ovld2_sas_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(TARG_EX_pad_hash_MT_UX_DEBUG)\ + $(TARG_EX_sink_cstr_MT_UX_DEBUG)\ + \ + +TARGETS_EXAMPLE_OVERLOAD_3=\ + \ + +TARGETS_SAMPLE=\ + \ + +TARGETS_TEST_COMPONENT=\ + $(TARG_TEST_COMP_file_MT_UX)\ + $(TARG_TEST_COMP_fmt_sink_MT_UX)\ + $(TARG_TEST_COMP_ins_hex_MT_UX)\ + $(TARG_TEST_COMP_ins_int_MT_UX)\ + $(TARG_TEST_COMP_ins_real_MT_UX)\ + $(TARG_TEST_COMP_itr_fmt_MT_UX)\ + $(TARG_TEST_COMP_null_sink_MT_UX)\ + $(TARG_TEST_COMP_snk_a_b_MT_UX)\ + $(TARG_TEST_COMP_write_sink_MT_UX)\ + $(TARG_TEST_COMP_x_1_MT_UX)\ + $(TARG_TEST_COMP_snk_ostm_MT_UX)\ + $(TARG_TEST_COMP_file_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + \ + +TARGETS_TEST_PERFORMANCE_BOOST= + + +TARGETS_TEST_PERFORMANCE=\ + $(TARG_TEST_PERF_scen1_MT_UX)\ + $(TARG_TEST_PERF_scen2_MT_UX)\ + $(TARG_TEST_PERF_scen3_MT_UX)\ + $(TARG_TEST_PERF_scen4_MT_UX)\ + $(TARG_TEST_PERF_scen5_MT_UX)\ + $(TARG_TEST_PERF_scen6_MT_UX)\ + $(TARG_TEST_PERF_scen7_MT_UX)\ + $(TARG_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(TARGETS_TEST_PERFORMANCE_BOOST)\ + \ + +TARGETS_TEST_SCRATCH=\ + $(TARG_TEST_SCRT_file_MT_UX)\ + $(TARG_TEST_SCRT_vecfile_MT_UX)\ + $(TARG_TEST_SCRT_file_MT_UX_DEBUG)\ + $(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + \ + +TARGETS_TEST_UNIT=\ + $(TARG_TEST_UNIT_api_acsllen_MT_UX)\ + $(TARG_TEST_UNIT_api_crlf_MT_UX)\ + $(TARG_TEST_UNIT_api_flrpl_MT_UX)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_UX)\ + $(TARG_TEST_UNIT_api_init_MT_UX)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_UX)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_UX)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_UX)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(TARG_TEST_UNIT_ins_ch_MT_UX)\ + $(TARG_TEST_UNIT_ins_i_MT_UX)\ + $(TARG_TEST_UNIT_shim_fi_MT_UX)\ + $(TARG_TEST_UNIT_snk_a_b_MT_UX)\ + $(TARG_TEST_UNIT_snk_c_str_MT_UX)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_UX)\ + $(TARG_TEST_UNIT_snk_null_MT_UX)\ + $(TARG_TEST_UNIT_snk_ostm_MT_UX)\ + $(TARG_TEST_UNIT_snk_sstm_MT_UX)\ + $(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + \ + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] )\ + +############################################################ +# Third-party libraries + + + +############################################################################ +# External Targets (invokable by make) + +# primary + +_default: build + +usage: + @echo "make [usage | compile | build | test | clean] - default is 'build'" + +# infrastructure + + + +prep.files.for.os: $(PROJ_MAKEFILE_NAME)\ + \ + +prep: prep.files.for.os + + +check.lib.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + +check.bin.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_BIN_DIR)\NUL mkdir $(PROJ_BIN_DIR) + + +# compile targets + +compile.libs.core: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_CORE) + +compile.libs.loki.safefmt: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_LOKI_SFMT) + +compile.libs.shwild: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SHWILD) + +compile.libs.xtests: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_XTESTS) + +compile.libs: compile.libs.core compile.libs.loki.safefmt compile.libs.shwild compile.libs.xtests + +compile.bitbucket: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_BITBUCKET) + +compile.examples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_EXAMPLE) + +compile.samples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SAMPLE) + +compile.test.component: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_COMPONENT) + +compile.test.performance: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_PERFORMANCE) + +compile.test.scratch: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_SCRATCH) + +compile.test.unit: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_UNIT) + +compile.test: compile.test.unit compile.test.component + +compile.test.all: compile.test compile.test.scratch compile.test.performance + +compile: compile.libs compile.test compile.examples compile.samples + +compile.all: compile compile.test.all + + + +# build targets + +build.libs.core: check.lib.dir prep.files.for.os $(TARGETS_LIB_CORE) + +build.libs.loki.safefmt: check.lib.dir prep.files.for.os $(TARGETS_LIB_LOKI_SFMT) + +build.libs.shwild: check.lib.dir prep.files.for.os $(TARGETS_LIB_SHWILD) + +build.libs.xtests: check.lib.dir prep.files.for.os $(TARGETS_LIB_XTESTS) + +build.libs: build.libs.core build.libs.loki.safefmt build.libs.shwild build.libs.xtests + +build.bitbucket: check.bin.dir prep.files.for.os $(TARGETS_BITBUCKET) + +build.examples: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE) + +build.examples.overload.3: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE_OVERLOAD_3) + +build.samples: check.bin.dir prep.files.for.os $(TARGETS_SAMPLE) + +build.test.component: check.bin.dir prep.files.for.os $(TARGETS_TEST_COMPONENT) + +build.test.performance: check.bin.dir prep.files.for.os $(TARGETS_TEST_PERFORMANCE) + +build.test.scratch: check.bin.dir prep.files.for.os $(TARGETS_TEST_SCRATCH) + +build.test.unit: check.bin.dir prep.files.for.os $(TARGETS_TEST_UNIT) + +build.test: build.test.unit build.test.component build.test.performance + +build.test.all: build.test build.test.scratch + +build: build.libs build.examples build.samples build.test + +build.all: build build.test.all + + + +# test targets + +test.component_: + @echo Running Test:Component programs ... + $(TARG_TEST_COMP_file_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + +test.performance_: + @echo Running Test:Performance programs ... + +test.scratch_: + @echo Running Test:Scratch programs ... + $(TARG_TEST_SCRT_file_MT_UX) + $(TARG_TEST_SCRT_vecfile_MT_UX) + $(TARG_TEST_SCRT_file_MT_UX_DEBUG) + $(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG) + +test.unit_: + @echo Running Test:Unit programs ... + $(TARG_TEST_UNIT_api_acsllen_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] ) --verbosity=$(TEST_VERBOSITY) + +test.examples_: + @echo Running Example programs ... + $(TARG_EX_boolean_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ins_hex_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ins_integers_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ins_reals_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_itr_fmt_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld2_filt_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_UX) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld3_tabs_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld3_svrlg_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld3_rcins_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_pad_hash_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_sink_cstr_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_boolean_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ins_hex_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ins_integers_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ins_reals_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_itr_fmt_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld2_filt_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_UX_DEBUG) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld3_tabs_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld3_svrlg_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld3_rcins_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_pad_hash_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_sink_cstr_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + +test.examples.overload.3_: + @echo Running Overload 3 Example programs ... + +test.component: build.test.component test.component_ + +test.performance: build.test.performance test.performance_ + +test.scratch: build.test.scratch test.scratch_ + +test.unit: build.test.unit test.unit_ + +test.examples: build.examples test.examples_ + +test.examples.overload.3: build.examples.overload.3 test.examples.overload.3_ + +test: test.unit test.component + +test.all: build.all test test.performance test.scratch test.examples +test-all: test.all + + + +# clean targets + +clean.libs.core: + @echo cleaning Core libraries ... + @-$(RM) $(LIB_CORE_MT_UX) + @-$(RM) $(LIB_CORE_MT_UX_DEBUG) + @-$(RM) $(OBJ_CORE_MT_UX) + @-$(RM) $(OBJ_CORE_MT_UX_DEBUG) + @-$(RM) $(PDB_CORE_MT_UX_DEBUG) + +clean.libs.loki.safefmt: + @echo cleaning Loki.SafeFormat libraries ... + @-$(RM) $(LIB_LOKI_SFMT_MT_UX) + @-$(RM) $(LIB_LOKI_SFMT_MT_UX_DEBUG) + @-$(RM) $(OBJ_LOKI_SFMT_MT_UX) + @-$(RM) $(OBJ_LOKI_SFMT_MT_UX_DEBUG) + @-$(RM) $(PDB_LOKI_SFMT_MT_UX_DEBUG) + +clean.libs.shwild: + @echo cleaning shwild libraries ... + @-$(RM) $(LIB_SHWILD_MT_UX) + @-$(RM) $(LIB_SHWILD_MT_UX_DEBUG) + @-$(RM) $(OBJ_SHWILD_MT_UX) + @-$(RM) $(OBJ_SHWILD_MT_UX_DEBUG) + @-$(RM) $(PDB_SHWILD_MT_UX_DEBUG) + +clean.libs.xtests: + @echo cleaning xTests libraries ... + @-$(RM) $(LIB_XTESTS_MT_UX) + @-$(RM) $(LIB_XTESTS_MT_UX_DEBUG) + @-$(RM) $(OBJ_XTESTS_MT_UX) + @-$(RM) $(OBJ_XTESTS_MT_UX_DEBUG) + @-$(RM) $(PDB_XTESTS_MT_UX_DEBUG) + +clean.libs: clean.libs.core clean.libs.loki.safefmt clean.libs.shwild clean.libs.xtests + +clean.bitbucket: + @echo cleaning Bitbucket programs ... + @-$(RM) $(TARG_BITBUCKET_MT_UX) + @-$(RM) $(OBJ_BITBUCKET_MT_UX) + @-$(RM) $(TARG_BITBUCKET_MT_UX_DEBUG) + @-$(RM) $(OBJ_BITBUCKET_MT_UX_DEBUG) + +clean.examples: + @echo cleaning Example programs ... + @-$(RM) $(TARG_EX_boolean_MT_UX) + @-$(RM) $(OBJ_EX_boolean_MT_UX) + @-$(RM) $(TARG_EX_character_MT_UX) + @-$(RM) $(OBJ_EX_character_MT_UX) + @-$(RM) $(TARG_EX_reals_MT_UX) + @-$(RM) $(OBJ_EX_reals_MT_UX) + @-$(RM) $(TARG_EX_voidptrs_MT_UX) + @-$(RM) $(OBJ_EX_voidptrs_MT_UX) + @-$(RM) $(TARG_EX_ff_101_MT_UX) + @-$(RM) $(OBJ_EX_ff_101_MT_UX) + @-$(RM) $(TARG_EX_ff_101_nons_MT_UX) + @-$(RM) $(OBJ_EX_ff_101_nons_MT_UX) + @-$(RM) $(TARG_EX_flush_MT_UX) + @-$(RM) $(OBJ_EX_flush_MT_UX) + @-$(RM) $(TARG_EX_ins_hex_MT_UX) + @-$(RM) $(OBJ_EX_ins_hex_MT_UX) + @-$(RM) $(TARG_EX_ins_integers_MT_UX) + @-$(RM) $(OBJ_EX_ins_integers_MT_UX) + @-$(RM) $(TARG_EX_ins_reals_MT_UX) + @-$(RM) $(OBJ_EX_ins_reals_MT_UX) + @-$(RM) $(TARG_EX_itr_fmt_MT_UX) + @-$(RM) $(OBJ_EX_itr_fmt_MT_UX) + @-$(RM) $(TARG_EX_ovld2_filt_MT_UX) + @-$(RM) $(OBJ_EX_ovld2_filt_MT_UX) + @-$(RM) $(TARG_EX_ovld2_ins_MT_UX) + @-$(RM) $(OBJ_EX_ovld2_ins_MT_UX) + @-$(RM) $(TARG_EX_ovld2_sas_MT_UX) + @-$(RM) $(OBJ_EX_ovld2_sas_MT_UX) + @-$(RM) $(TARG_EX_ovld3_fpcol_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_fpcol_MT_UX) + @-$(RM) $(TARG_EX_ovld3_tabs_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_tabs_MT_UX) + @-$(RM) $(TARG_EX_ovld3_svrlg_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_svrlg_MT_UX) + @-$(RM) $(TARG_EX_ovld3_rcins_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_rcins_MT_UX) + @-$(RM) $(TARG_EX_pad_hash_MT_UX) + @-$(RM) $(OBJ_EX_pad_hash_MT_UX) + @-$(RM) $(TARG_EX_sink_cstr_MT_UX) + @-$(RM) $(OBJ_EX_sink_cstr_MT_UX) + @-$(RM) $(TARG_EX_boolean_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_boolean_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_character_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_character_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_reals_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_reals_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_voidptrs_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_voidptrs_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ff_101_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ff_101_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ff_101_nons_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ff_101_nons_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_flush_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_flush_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ins_hex_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ins_hex_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ins_integers_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ins_integers_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ins_reals_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ins_reals_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld2_filt_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld2_filt_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld2_ins_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld2_ins_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld2_sas_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld2_sas_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_fpcol_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_tabs_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_svrlg_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_rcins_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_pad_hash_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_pad_hash_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_sink_cstr_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_sink_cstr_MT_UX_DEBUG) + +clean.samples: + @echo cleaning Sample programs ... + +clean.test.component: + @echo cleaning Test::Component files ... + @-$(RM) $(TARG_TEST_COMP_file_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_file_MT_UX) + @-$(RM) $(TARG_TEST_COMP_fmt_sink_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_fmt_sink_MT_UX) + @-$(RM) $(TARG_TEST_COMP_ins_hex_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_ins_hex_MT_UX) + @-$(RM) $(TARG_TEST_COMP_ins_int_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_ins_int_MT_UX) + @-$(RM) $(TARG_TEST_COMP_ins_real_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_ins_real_MT_UX) + @-$(RM) $(TARG_TEST_COMP_itr_fmt_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_itr_fmt_MT_UX) + @-$(RM) $(TARG_TEST_COMP_null_sink_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_null_sink_MT_UX) + @-$(RM) $(TARG_TEST_COMP_snk_a_b_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_snk_a_b_MT_UX) + @-$(RM) $(TARG_TEST_COMP_write_sink_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_write_sink_MT_UX) + @-$(RM) $(TARG_TEST_COMP_x_1_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_x_1_MT_UX) + @-$(RM) $(TARG_TEST_COMP_snk_ostm_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_snk_ostm_MT_UX) + @-$(RM) $(TARG_TEST_COMP_file_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_file_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_ins_int_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_ins_real_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_null_sink_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_write_sink_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_x_1_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG) + +clean.test.performance: + @echo cleaning Test::Performance files ... + @-$(RM) $(TARG_TEST_PERF_scen1_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen1_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen2_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen2_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen3_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen3_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen4_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen4_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen5_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen5_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen6_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen6_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen7_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen7_MT_UX) + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-$(RM) $(TARG_TEST_PERF_scen1_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen2_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen3_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen4_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen5_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen6_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen7_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG) + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + +clean.test.scratch: + @echo cleaning Test::Scratch files ... + @-$(RM) $(TARG_TEST_SCRT_file_MT_UX) + @-$(RM) $(OBJ_TEST_SCRT_file_MT_UX) + @-$(RM) $(TARG_TEST_SCRT_vecfile_MT_UX) + @-$(RM) $(OBJ_TEST_SCRT_vecfile_MT_UX) + @-$(RM) $(TARG_TEST_SCRT_file_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_SCRT_file_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG) + +clean.test.unit: + @echo cleaning Test::Unit files ... + @-$(RM) $(TARG_TEST_UNIT_api_acsllen_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_crlf_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_crlf_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_flrpl_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_init_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_init_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_ins_ch_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_ins_ch_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_ins_i_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_ins_i_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_shim_fi_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_shim_fi_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_a_b_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_c_str_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_null_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_null_MT_UX) +# @-$(RM) $(TARG_TEST_UNIT_snk_vecfile_MT_UX) +# @-$(RM) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_ostm_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_sstm_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_init_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG) +# @-$(RM) $(TARG_TEST_UNIT_snk_vecfile_MT_UX_DEBUG) +# @-$(RM) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG) + +clean.test: clean.test.unit clean.test.component clean.test.scratch clean.test.performance + +clean: clean.libs clean.bitbucket clean.examples clean.samples clean.test + +clean.all: clean + + +############################################################################ +# build targets + +################################################## +# Libraries + +######################################## +# Main library - 'fastformat.X.core.a' + +$(LIB_CORE_MT_UX): $(OBJ_CORE_MT_UX) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_UX) + +$(OBJ_cr_api_MT_UX): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_UX): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_UX): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_UX): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_UX): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_UX): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_UX): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_UX_DEBUG): $(OBJ_CORE_MT_UX_DEBUG) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_UX_DEBUG) + +$(OBJ_cr_api_MT_UX_DEBUG): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_UX_DEBUG): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_UX_DEBUG): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_UX_DEBUG): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_UX_DEBUG): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_UX_DEBUG): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_UX_DEBUG): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +######################################## +# Loki.SafeFormat library - 'loki.safefmt.X.core.a' + +######################################## +# shwild library - 'shwild.X.core.a' + +$(LIB_SHWILD_MT_UX): $(OBJ_SHWILD_MT_UX) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_UX) + +$(OBJ_shw_api_MT_UX): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_SHWILD_INCLUDES_MT_UX) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_UX): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_SHWILD_INCLUDES_MT_UX) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_UX): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_SHWILD_INCLUDES_MT_UX) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_UX_DEBUG): $(OBJ_SHWILD_MT_UX_DEBUG) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_UX_DEBUG) + +$(OBJ_shw_api_MT_UX_DEBUG): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_SHWILD_INCLUDES_MT_UX_DEBUG) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_UX_DEBUG): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_SHWILD_INCLUDES_MT_UX_DEBUG) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_UX_DEBUG): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_SHWILD_INCLUDES_MT_UX_DEBUG) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +######################################## +# xTests library - 'xtests.X.ff.core.a' + +$(LIB_XTESTS_MT_UX): $(OBJ_XTESTS_MT_UX) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_UX) + +$(OBJ_xts_core_MT_UX): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_XTESTS_INCLUDES_MT_UX) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_UX_DEBUG): $(OBJ_XTESTS_MT_UX_DEBUG) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_UX_DEBUG) + +$(OBJ_xts_core_MT_UX_DEBUG): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_XTESTS_INCLUDES_MT_UX_DEBUG) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +################################################## +# Examples: BitBucket + +$(BITBUCKET_SRC_DIR)\bitbucket.cpp: #$(PROJ_MAKEFILE_NAME) + @-if not exist $(BITBUCKET_SRC_DIR)\NUL mkdir $(BITBUCKET_SRC_DIR) + echo #include "stdio.h" > $@ + echo int main(int, char**) { int ch; for(; EOF != (ch = getchar());) { ; } return 0; } >> $@ + +$(TARG_BITBUCKET_MT_UX): $(OBJ_BITBUCKET_MT_UX) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_BITBUCKET_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_BITBUCKET_MT_UX): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_UX_DEBUG): $(OBJ_BITBUCKET_MT_UX_DEBUG) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_BITBUCKET_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_BITBUCKET_MT_UX_DEBUG): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + + +################################################## +# Examples + +$(TARG_EX_boolean_MT_UX): \ + $(OBJ_EX_boolean_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_boolean_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_boolean_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_UX_DEBUG): \ + $(OBJ_EX_boolean_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_boolean_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_boolean_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_character_MT_UX): \ + $(OBJ_EX_character_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_character_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_character_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_UX_DEBUG): \ + $(OBJ_EX_character_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_character_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_character_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_reals_MT_UX): \ + $(OBJ_EX_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_reals_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_UX_DEBUG): \ + $(OBJ_EX_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_reals_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_voidptrs_MT_UX): \ + $(OBJ_EX_voidptrs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_voidptrs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_voidptrs_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_UX_DEBUG): \ + $(OBJ_EX_voidptrs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_voidptrs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_voidptrs_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_ff_101_MT_UX): \ + $(OBJ_EX_ff_101_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ff_101_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ff_101_MT_UX): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_MT_UX_DEBUG): \ + $(OBJ_EX_ff_101_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ff_101_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ff_101_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_nons_MT_UX): \ + $(OBJ_EX_ff_101_nons_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ff_101_nons_MT_UX): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_MT_UX_DEBUG): \ + $(OBJ_EX_ff_101_nons_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ff_101_nons_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_flush_MT_UX): \ + $(OBJ_EX_flush_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_flush_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_flush_MT_UX): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_MT_UX_DEBUG): \ + $(OBJ_EX_flush_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_flush_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_flush_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_ins_hex_MT_UX): \ + $(OBJ_EX_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ins_hex_MT_UX): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_MT_UX_DEBUG): \ + $(OBJ_EX_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ins_hex_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_integers_MT_UX): \ + $(OBJ_EX_ins_integers_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ins_integers_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ins_integers_MT_UX): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_MT_UX_DEBUG): \ + $(OBJ_EX_ins_integers_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ins_integers_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ins_integers_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_reals_MT_UX): \ + $(OBJ_EX_ins_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ins_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ins_reals_MT_UX): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_MT_UX_DEBUG): \ + $(OBJ_EX_ins_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ins_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ins_reals_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + + + +$(TARG_EX_itr_fmt_MT_UX): \ + $(OBJ_EX_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_itr_fmt_MT_UX): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_UX_DEBUG): \ + $(OBJ_EX_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_itr_fmt_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_ovld2_filt_MT_UX): \ + $(OBJ_EX_ovld2_filt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld2_filt_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_MT_UX_DEBUG): \ + $(OBJ_EX_ovld2_filt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld2_filt_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + + +$(TARG_EX_ovld2_ins_MT_UX): \ + $(OBJ_EX_ovld2_ins_MT_UX)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld2_ins_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_MT_UX_DEBUG): \ + $(OBJ_EX_ovld2_ins_MT_UX_DEBUG)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld2_ins_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_sas_MT_UX): \ + $(OBJ_EX_ovld2_sas_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld2_sas_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_MT_UX_DEBUG): \ + $(OBJ_EX_ovld2_sas_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld2_sas_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld3_fpcol_MT_UX): \ + $(OBJ_EX_ovld3_fpcol_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_fpcol_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_tabs_MT_UX): \ + $(OBJ_EX_ovld3_tabs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_tabs_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_tabs_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_svrlg_MT_UX): \ + $(OBJ_EX_ovld3_svrlg_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_svrlg_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_rcins_MT_UX): \ + $(OBJ_EX_ovld3_rcins_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_rcins_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_rcins_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_pad_hash_MT_UX): \ + $(OBJ_EX_pad_hash_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_pad_hash_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_pad_hash_MT_UX): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_MT_UX_DEBUG): \ + $(OBJ_EX_pad_hash_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_pad_hash_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_pad_hash_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_sink_cstr_MT_UX): \ + $(OBJ_EX_sink_cstr_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_sink_cstr_MT_UX): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_MT_UX_DEBUG): \ + $(OBJ_EX_sink_cstr_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_sink_cstr_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +################################################## +# Tests + +############################## +# Component Tests + +$(TARG_TEST_COMP_snk_a_b_MT_UX): \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_snk_a_b_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_file_MT_UX): \ + $(OBJ_TEST_COMP_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_file_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_file_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_UX): \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_fmt_sink_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_write_sink_MT_UX): \ + $(OBJ_TEST_COMP_write_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_write_sink_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_x_1_MT_UX): \ + $(OBJ_TEST_COMP_x_1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_x_1_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_x_1_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_null_sink_MT_UX): \ + $(OBJ_TEST_COMP_null_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_null_sink_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_ins_hex_MT_UX): \ + $(OBJ_TEST_COMP_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_ins_hex_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_int_MT_UX): \ + $(OBJ_TEST_COMP_ins_int_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_ins_int_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_real_MT_UX): \ + $(OBJ_TEST_COMP_ins_real_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_ins_real_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_UX): \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_itr_fmt_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_UX): \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_snk_ostm_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +############################## +# Performance Tests + +$(TARG_TEST_PERF_scen1_MT_UX): \ + $(OBJ_TEST_PERF_scen1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen1_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen1_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen2_MT_UX): \ + $(OBJ_TEST_PERF_scen2_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen2_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen2_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen3_MT_UX): \ + $(OBJ_TEST_PERF_scen3_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen3_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen3_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen4_MT_UX): \ + $(OBJ_TEST_PERF_scen4_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen4_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen4_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen5_MT_UX): \ + $(OBJ_TEST_PERF_scen5_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen5_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen5_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen6_MT_UX): \ + $(OBJ_TEST_PERF_scen6_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen6_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen6_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen7_MT_UX): \ + $(OBJ_TEST_PERF_scen7_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen7_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen7_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +############################## +# Scratch Tests + +$(TARG_TEST_SCRT_file_MT_UX): \ + $(OBJ_TEST_SCRT_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_SCRT_file_MT_UX): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_UX_DEBUG): \ + $(OBJ_TEST_SCRT_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_SCRT_file_MT_UX_DEBUG): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_vecfile_MT_UX): \ + $(OBJ_TEST_SCRT_vecfile_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_SCRT_vecfile_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_SCRT_vecfile_MT_UX): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp + +$(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG): \ + $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp + +############################## +# Unit Tests + + +$(TARG_TEST_UNIT_api_acsllen_MT_UX): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_acsllen_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_UX): \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_crlf_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_UX): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_flrpl_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_init_MT_UX): \ + $(OBJ_TEST_UNIT_api_init_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_init_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_UX): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_UX): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_UX): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_UX): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_UX): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_UX): \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_ins_ch_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_i_MT_UX): \ + $(OBJ_TEST_UNIT_ins_i_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_ins_i_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_UX): \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_shim_fi_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_UX): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_a_b_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_UX): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_c_str_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_UX): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_null_MT_UX): \ + $(OBJ_TEST_UNIT_snk_null_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_null_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +#$(TARG_TEST_UNIT_snk_vecfile_MT_UX): \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX)\ +# $(LIB_CORE_MT_UX)\ +# $(LIB_SHWILD_MT_UX)\ +# $(LIB_XTESTS_MT_UX)\ +# $(PROJ_MAKEFILE_NAME) +# [``[IFDEF(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX),"$@",,$(LIB_CORE_MT_UX)+$(LIB_SHWILD_MT_UX)+$(LIB_XTESTS_MT_UX)+$(TARGET_LIB_MT_UX) +# [``[ELSE(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX) -out:$@ \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX)\ +# $(LIB_CORE_MT_UX)\ +# $(LIB_SHWILD_MT_UX)\ +# $(LIB_XTESTS_MT_UX)\ +# $(TARGET_LIB_MT_UX) +# [``[ENDIF(AR_USES_DMC_SYNTAX)]``] +# +#$(OBJ_TEST_UNIT_snk_vecfile_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) +# $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp +# +#$(TARG_TEST_UNIT_snk_vecfile_MT_UX_DEBUG): \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG)\ +# $(LIB_CORE_MT_UX_DEBUG)\ +# $(LIB_SHWILD_MT_UX_DEBUG)\ +# $(LIB_XTESTS_MT_UX_DEBUG)\ +# $(PROJ_MAKEFILE_NAME) +# [``[IFDEF(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX_DEBUG) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG),"$@",,$(LIB_CORE_MT_UX_DEBUG)+$(LIB_SHWILD_MT_UX_DEBUG)+$(LIB_XTESTS_MT_UX_DEBUG)+$(TARGET_LIB_MT_UX_DEBUG) +# [``[ELSE(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG)\ +# $(LIB_CORE_MT_UX_DEBUG)\ +# $(LIB_SHWILD_MT_UX_DEBUG)\ +# $(LIB_XTESTS_MT_UX_DEBUG)\ +# $(TARGET_LIB_MT_UX_DEBUG) +# [``[ENDIF(AR_USES_DMC_SYNTAX)]``] +# +#$(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) +# $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp +# +$(TARG_TEST_UNIT_snk_ostm_MT_UX): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_ostm_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_UX): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_sstm_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +############################### end of file ################################ diff --git a/build/vc12.x64/makefile b/build/vc12.x64/makefile new file mode 100644 index 0000000..a0e56c4 --- /dev/null +++ b/build/vc12.x64/makefile @@ -0,0 +1,12423 @@ +############################################################################ +# File: makefile +# +# Purpose: Makefile for the FastFormat project, for the Visual C++ 12 (x64 64-bit) +# compiler, on Win64 +# +# Created: 22nd December 2007 +# Updated: 25th September 2015 +# +############################################################################ + + +############################################################################ +# Environment check +# + +!ifndef STLSOFT +!error STLSOFT must be defined +!endif # !STLSOFT + +############################################################################ +# Symbols + +######################################## +# Project & compiler version + +COMP_TAG = vc12 +ARCH_TAG = .x64 +OS_TAG = +PROJ_TAG = fastformat +PROJ_VER_MAJOR = 0 +PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) + +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) + +SHWILD_VER_MAJOR = 0 +SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) + +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + +XTESTS_VER_MAJOR = 0 +XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) + +PROJ_MAKEFILE_NAME = makefile + +######################################## +# Testing verbosity + +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY + +######################################## +# Directories + +PROJ_BASE_DIR = ..\.. +PROJ_BIN_DIR = $(PROJ_BASE_DIR)\bin +PROJ_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +PROJ_LIB_DIR = $(PROJ_BASE_DIR)\lib +PROJ_OBJ_DIR = . +PROJ_SRC_DIR = $(PROJ_BASE_DIR)\src +PROJ_EXAMPLES_DIR = $(PROJ_BASE_DIR)\examples +PROJ_SAMPLES_DIR = $(PROJ_BASE_DIR)\samples +PROJ_BUNDLES_DIR = $(PROJ_BASE_DIR)\test\data\bundles +PROJ_TEST_ROOT_DIR = $(PROJ_BASE_DIR)\test +PROJ_TEST_COMPONENT_DIR = $(PROJ_TEST_ROOT_DIR)\component +PROJ_TEST_PERFORMANCE_DIR = $(PROJ_TEST_ROOT_DIR)\performance +PROJ_TEST_SCRATCH_DIR = $(PROJ_TEST_ROOT_DIR)\scratch +PROJ_TEST_UNIT_DIR = $(PROJ_TEST_ROOT_DIR)\unit + +BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket + +LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt + +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR + +######################################## +# tools + +CC = cl +CPPC = cl +AR = lib +LD = link +RM = del /f +CP = copy +RC = rc + + +# Lib + +LIBS_LEADER = + +# lib paths + +ENV_LIBPATHS = +COMMON_LIBPATHS = + + +LIBPATHS=\ +$(LIBS_LEADER)\ +$(COMMON_LIBPATHS)\ +$(ENV_LIBPATHS)\ +\ + + +# lib names + +TARGET_LIB_MT_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + + +# #defines + +COMMON_DEFS = -DWIN64 + +CUSTOM_DEFS = + +# includes + +COMMON_INCLUDES = + + + +# CPPC flags + +CPPC_F_NOLOGO = -nologo +CPPC_F_COMPILE_ONLY = -c +CPPC_F_WARNING_MAX = -W4 -wd4800 +CPPC_F_WARNING_ERR = -WX +CPPC_F_EXCEPTIONS_ON = -EHsc +CPPC_F_EXCEPTIONS_OFF = -wd4530 +CPPC_F_EXCEPTIONS = $(CPPC_F_EXCEPTIONS_ON) +CPPC_F_RTTI_ON = -GR +CPPC_F_RTTI_OFF = -GR- +CPPC_F_RTTI = $(CPPC_F_RTTI_ON) +CPPC_F_MINIMUM_SIZE = -O1 +CPPC_F_MAXIMUM_SPEED = -O2 -Ox + +CPPC_FLAGS_BASE =\ + $(CPPC_F_NOLOGO)\ + $(CPPC_F_COMPILE_ONLY)\ + $(CPPC_F_WARNING_MAX)\ + $(CPPC_F_WARNING_ERR)\ + $(CPPC_F_EXCEPTIONS)\ + $(CPPC_F_RTTI)\ + \ + +CPPC_FLAGS_MT_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + + +# CPPC defines + +!ifdef FASTFORMAT_NO_NAG +NO_NAG_DEFS = -DFASTFORMAT_NO_VERSION_NAG=1 +!else # ? FASTFORMAT_NO_NAG +NO_NAG_DEFS = +!endif # FASTFORMAT_NO_NAG + +CPPC_DEFS_BASE =\ + $(COMMON_DEFS)\ + $(CUSTOM_DEFS)\ + $(NO_NAG_DEFS)\ + \ + +!ifdef BOOST +DEFINE_BOOST_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_BOOST +!else # BOOST +DEFINE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +DEFINE_LOKI_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_LOKI +!else # LOKI +DEFINE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_DEFS_MT_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + + + +# CPPC includes + +!ifdef STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = -I"$(STLSOFT_1_10)/include" +!else # STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = +!endif # STLSOFT_1_10 + +CPPC_INCLUDES_BASE=\ + \ + -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ + $(STLSOFT_1_10_INCLUDE)\ + -I$(STLSOFT)\include\ + $(COMMON_INCLUDES)\ + \ + +CPPC_CORE_INCLUDES_BASE=\ + -I$(PROJ_SRC_DIR)\ + $(CPPC_INCLUDES_BASE)\ + \ + +!ifdef BOOST +INCLUDE_BOOST_FOR_PERFTEST = -I"$(BOOST)" +!else # BOOST +INCLUDE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +INCLUDE_LOKI_FOR_PERFTEST = -I"$(LOKI)/include" +!else # LOKI +INCLUDE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_INCLUDES_MT_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + + + +CPPC_SHWILD_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + + +CPPC_XTESTS_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + + + +# CPPC args (= flags + defines + includes) + +CPPC_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D) +CPPC_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D_W) +CPPC_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D) +CPPC_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D_W) +CPPC_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R) +CPPC_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R_W) +CPPC_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R) +CPPC_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R_W) + +CPPC_CORE_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) + + + + +# AR Args + +AR_ARGS = -nologo + + +# LD flags + +LD_F_NOLOGO = -nologo + +LD_FLAGS_BASE =\ + $(LD_F_NOLOGO)\ + \ + +LD_FLAGS_MT_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R_W =\ + $(LD_FLAGS_BASE)\ + \ + + +# LD includes + +LD_INCLUDES_BASE =\ + $(LIBPATHS)\ + \ + +LD_INCLUDES_MT_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + + +LD_ARGS = -machine:x64 + +LD_F_NOLOGO = -nologo + +# LD args + +LD_ARGS_MT_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) + +############################################################################ +# Headers + +CORE_HEADERS=\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.h\ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\ff.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\implicit_link.h\ + $(PROJ_INCLUDE_DIR)\fastformat\init_codes.h\ + $(PROJ_INCLUDE_DIR)\fastformat\exceptions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\openrj_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\properties_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\winini_bundle.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\format\standard_flags.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_missing_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\mismatched_arguments_scope_base.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\integer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\real.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_e.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_f.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_g.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_i.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\internal\format_element.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\stlsoft.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\string_encoding.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\threading.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\initialiser.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\api_functions.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\helper_functions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\quality\contract.h\ + $(PROJ_INCLUDE_DIR)\fastformat\quality\cover.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\shims\action\fmt_slices\generic_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\fast_integers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\reals.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\void_pointers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ACE_CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\auto_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CComBSTR.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\char_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\c_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\FILE.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\null.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ostream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\OutputDebugString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\shim_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\speech.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\stringstream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\string_array.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\vectored_file.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.first_include.h\ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.last_include.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\util\memory\auto_buffer_selector.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\sinks\helpers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\string\snprintf.h\ + \ + +SHWILD_HEADERS=\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)\xcover\xcover.h\ + $(PROJ_INCLUDE_DIR)\xcover\internal\reporter.h\ + \ + +XTESTS_HEADERS=\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ + \ + +############################################################################ +# Names + +############################################################ +# Targets + +######################################## +# Libraries + +# fastformat +LIB_CORE_MT_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_CORE_MT_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_CORE_DLL_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_CORE_DLL_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_CORE_DLL_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.lib +LIB_CORE_DLL_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_CORE_MT_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.lib +LIB_CORE_MT_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# Loki.SafeFormat - performance testing only +!ifdef LOKI +LIB_LOKI_SFMT_MT_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_LOKI_SFMT_MT_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_LOKI_SFMT_DLL_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_LOKI_SFMT_DLL_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_LOKI_SFMT_DLL_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_LOKI_SFMT_DLL_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_LOKI_SFMT_MT_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_LOKI_SFMT_MT_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib +!else # LOKI +LIB_LOKI_SFMT_MT_D = +LIB_LOKI_SFMT_MT_D_W = +LIB_LOKI_SFMT_DLL_D = +LIB_LOKI_SFMT_DLL_D_W = +LIB_LOKI_SFMT_DLL_R = +LIB_LOKI_SFMT_DLL_R_W = +LIB_LOKI_SFMT_MT_R = +LIB_LOKI_SFMT_MT_R_W = +!endif # LOKI + +# shwild - testing only +LIB_SHWILD_MT_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_SHWILD_MT_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_SHWILD_DLL_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_SHWILD_DLL_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_SHWILD_DLL_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_SHWILD_DLL_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_SHWILD_MT_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_SHWILD_MT_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# xtests - unit/component testing only +LIB_XTESTS_MT_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_XTESTS_MT_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_XTESTS_DLL_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_XTESTS_DLL_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_XTESTS_DLL_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_XTESTS_DLL_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_XTESTS_MT_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_XTESTS_MT_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +######################################## +# Examples + +TARG_BITBUCKET_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_BITBUCKET_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_BITBUCKET_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_BITBUCKET_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_BITBUCKET_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.exe +TARG_BITBUCKET_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_BITBUCKET_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.exe +TARG_BITBUCKET_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +TARG_EX_boolean_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_character_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_voidptrs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_nons_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_flush_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_integers_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_WLIs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_filt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_ins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_sas_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_fpcol_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_tabs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_svrlg_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_rcins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_pad_hash_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_cstr_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_ODS_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.debug.exe + +TARG_EX_boolean_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_character_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_reals_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_voidptrs_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_sink_ODS_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe + +TARG_EX_boolean_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_character_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_voidptrs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_nons_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_flush_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_integers_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_WLIs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_filt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_ins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_sas_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_fpcol_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_tabs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_svrlg_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_rcins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_pad_hash_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_cstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_ODS_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.debug.exe + +TARG_EX_boolean_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_character_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_reals_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_voidptrs_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_sink_ODS_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe + +TARG_EX_boolean_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_character_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_voidptrs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_nons_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_flush_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_integers_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_WLIs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_filt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_ins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_sas_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_fpcol_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_tabs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_svrlg_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_rcins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_pad_hash_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_cstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_ODS_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.exe + +TARG_EX_boolean_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_character_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_reals_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_voidptrs_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_sink_ODS_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.exe + +TARG_EX_boolean_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_character_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_voidptrs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_nons_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_flush_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_integers_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_WLIs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_filt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_ins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_sas_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_fpcol_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_tabs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_svrlg_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_rcins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_pad_hash_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_cstr_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_ODS_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.exe + +TARG_EX_boolean_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_character_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_reals_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_voidptrs_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_sink_ODS_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.exe + + +######################################## +# Tests + +############################## +# Component Tests + +TARG_TEST_COMP_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_x_1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_x_1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_int_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_real_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_null_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_write_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_x_1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_int_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_real_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_null_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_write_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_x_1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_fmt_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_int_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_real_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_null_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_write_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_x_1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_hex_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_int_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_real_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_null_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_write_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_x_1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Performance Tests + +TARG_TEST_PERF_scen1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen2_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen3_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen4_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen5_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen6_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen7_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen2_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen3_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen4_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen5_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen6_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen7_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen2_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen3_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen4_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen5_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen6_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen7_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen2_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen3_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen4_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen5_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen6_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen7_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen2_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen3_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen4_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen5_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen6_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen7_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen2_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen3_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen4_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen5_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen6_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen7_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!endif # BOOST + +############################## +# Scratch Tests + +TARG_TEST_SCRT_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_speech_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_speech_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_speech_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_speech_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_speech_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_SCRT_speech_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Unit Tests + +TARG_TEST_UNIT_api_acsllen_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_init_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_i_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_null_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_init_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_acsllen_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_crlf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_init_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_ch_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_i_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_shim_fi_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_null_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ods_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_init_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_i_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_null_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################################################################ +# Objects + +######################################## +# Libraries + +# fastformat + +OBJ_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.obj +OBJ_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.obj +OBJ_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.obj +OBJ_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.obj +OBJ_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.obj +OBJ_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.obj +PDB_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.pdb +PDB_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.pdb +PDB_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.pdb +PDB_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.pdb +PDB_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.pdb +PDB_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.pdb + +OBJ_CORE_MT_D = \ + $(OBJ_cr_api_MT_D)\ + $(OBJ_cr_fsdhndlrs_MT_D)\ + $(OBJ_cr_fmtcache_MT_D)\ + $(OBJ_cr_initstr_MT_D)\ + $(OBJ_cr_mempool_MT_D)\ + $(OBJ_cr_replacements_MT_D)\ + $(OBJ_cr_snprintf_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_cr_api_MT_D)\ + $(PDB_cr_fsdhndlrs_MT_D)\ + $(PDB_cr_fmtcache_MT_D)\ + $(PDB_cr_initstr_MT_D)\ + $(PDB_cr_mempool_MT_D)\ + $(PDB_cr_replacements_MT_D)\ + $(PDB_cr_snprintf_MT_D)\ + \ + +OBJ_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.obj +OBJ_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.obj +OBJ_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.obj +OBJ_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.obj +OBJ_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.obj +OBJ_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.obj +PDB_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.pdb +PDB_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.pdb +PDB_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.pdb +PDB_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.pdb +PDB_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.pdb +PDB_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.pdb + +OBJ_CORE_MT_D_W = \ + $(OBJ_cr_api_MT_D_W)\ + $(OBJ_cr_fsdhndlrs_MT_D_W)\ + $(OBJ_cr_fmtcache_MT_D_W)\ + $(OBJ_cr_initstr_MT_D_W)\ + $(OBJ_cr_mempool_MT_D_W)\ + $(OBJ_cr_replacements_MT_D_W)\ + $(OBJ_cr_snprintf_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_cr_api_MT_D_W)\ + $(PDB_cr_fsdhndlrs_MT_D_W)\ + $(PDB_cr_fmtcache_MT_D_W)\ + $(PDB_cr_initstr_MT_D_W)\ + $(PDB_cr_mempool_MT_D_W)\ + $(PDB_cr_replacements_MT_D_W)\ + $(PDB_cr_snprintf_MT_D_W)\ + \ + +OBJ_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.obj +OBJ_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.obj +OBJ_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.obj +OBJ_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.obj +OBJ_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.obj +OBJ_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.obj +PDB_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.pdb +PDB_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.pdb +PDB_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.pdb +PDB_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.pdb +PDB_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.pdb +PDB_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.pdb + +OBJ_CORE_DLL_D = \ + $(OBJ_cr_api_DLL_D)\ + $(OBJ_cr_fsdhndlrs_DLL_D)\ + $(OBJ_cr_fmtcache_DLL_D)\ + $(OBJ_cr_initstr_DLL_D)\ + $(OBJ_cr_mempool_DLL_D)\ + $(OBJ_cr_replacements_DLL_D)\ + $(OBJ_cr_snprintf_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_cr_api_DLL_D)\ + $(PDB_cr_fsdhndlrs_DLL_D)\ + $(PDB_cr_fmtcache_DLL_D)\ + $(PDB_cr_initstr_DLL_D)\ + $(PDB_cr_mempool_DLL_D)\ + $(PDB_cr_replacements_DLL_D)\ + $(PDB_cr_snprintf_DLL_D)\ + \ + +OBJ_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.obj +OBJ_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.obj +OBJ_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.obj +OBJ_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.obj +OBJ_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.obj +OBJ_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.obj +PDB_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.pdb +PDB_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.pdb +PDB_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.pdb +PDB_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.pdb +PDB_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.pdb +PDB_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.pdb + +OBJ_CORE_DLL_D_W = \ + $(OBJ_cr_api_DLL_D_W)\ + $(OBJ_cr_fsdhndlrs_DLL_D_W)\ + $(OBJ_cr_fmtcache_DLL_D_W)\ + $(OBJ_cr_initstr_DLL_D_W)\ + $(OBJ_cr_mempool_DLL_D_W)\ + $(OBJ_cr_replacements_DLL_D_W)\ + $(OBJ_cr_snprintf_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_cr_api_DLL_D_W)\ + $(PDB_cr_fsdhndlrs_DLL_D_W)\ + $(PDB_cr_fmtcache_DLL_D_W)\ + $(PDB_cr_initstr_DLL_D_W)\ + $(PDB_cr_mempool_DLL_D_W)\ + $(PDB_cr_replacements_DLL_D_W)\ + $(PDB_cr_snprintf_DLL_D_W)\ + \ + +OBJ_cr_api_DLL_R = $(PROJ_OBJ_DIR)\core.api.dll.obj +OBJ_cr_fsdhndlrs_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.obj +OBJ_cr_fmtcache_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.obj +OBJ_cr_initstr_DLL_R = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.obj +OBJ_cr_mempool_DLL_R = $(PROJ_OBJ_DIR)\core.mempool.dll.obj +OBJ_cr_replacements_DLL_R = $(PROJ_OBJ_DIR)\core.replacements.dll.obj +OBJ_cr_snprintf_DLL_R = $(PROJ_OBJ_DIR)\core.snprintf.dll.obj + +OBJ_CORE_DLL_R = \ + $(OBJ_cr_api_DLL_R)\ + $(OBJ_cr_fsdhndlrs_DLL_R)\ + $(OBJ_cr_fmtcache_DLL_R)\ + $(OBJ_cr_initstr_DLL_R)\ + $(OBJ_cr_mempool_DLL_R)\ + $(OBJ_cr_replacements_DLL_R)\ + $(OBJ_cr_snprintf_DLL_R)\ + \ + +OBJ_cr_api_DLL_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.obj +OBJ_cr_fsdhndlrs_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.obj +OBJ_cr_fmtcache_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.obj +OBJ_cr_initstr_DLL_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.obj +OBJ_cr_mempool_DLL_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.obj +OBJ_cr_replacements_DLL_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.obj +OBJ_cr_snprintf_DLL_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.obj + +OBJ_CORE_DLL_R_W = \ + $(OBJ_cr_api_DLL_R_W)\ + $(OBJ_cr_fsdhndlrs_DLL_R_W)\ + $(OBJ_cr_fmtcache_DLL_R_W)\ + $(OBJ_cr_initstr_DLL_R_W)\ + $(OBJ_cr_mempool_DLL_R_W)\ + $(OBJ_cr_replacements_DLL_R_W)\ + $(OBJ_cr_snprintf_DLL_R_W)\ + \ + +OBJ_cr_api_MT_R = $(PROJ_OBJ_DIR)\core.api.mt.obj +OBJ_cr_fsdhndlrs_MT_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.obj +OBJ_cr_fmtcache_MT_R = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.obj +OBJ_cr_initstr_MT_R = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.obj +OBJ_cr_mempool_MT_R = $(PROJ_OBJ_DIR)\core.mempool.mt.obj +OBJ_cr_replacements_MT_R = $(PROJ_OBJ_DIR)\core.replacements.mt.obj +OBJ_cr_snprintf_MT_R = $(PROJ_OBJ_DIR)\core.snprintf.mt.obj + +OBJ_CORE_MT_R = \ + $(OBJ_cr_api_MT_R)\ + $(OBJ_cr_fsdhndlrs_MT_R)\ + $(OBJ_cr_fmtcache_MT_R)\ + $(OBJ_cr_initstr_MT_R)\ + $(OBJ_cr_mempool_MT_R)\ + $(OBJ_cr_replacements_MT_R)\ + $(OBJ_cr_snprintf_MT_R)\ + \ + +OBJ_cr_api_MT_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.obj +OBJ_cr_fsdhndlrs_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.obj +OBJ_cr_fmtcache_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.obj +OBJ_cr_initstr_MT_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.obj +OBJ_cr_mempool_MT_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.obj +OBJ_cr_replacements_MT_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.obj +OBJ_cr_snprintf_MT_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.obj + +OBJ_CORE_MT_R_W = \ + $(OBJ_cr_api_MT_R_W)\ + $(OBJ_cr_fsdhndlrs_MT_R_W)\ + $(OBJ_cr_fmtcache_MT_R_W)\ + $(OBJ_cr_initstr_MT_R_W)\ + $(OBJ_cr_mempool_MT_R_W)\ + $(OBJ_cr_replacements_MT_R_W)\ + $(OBJ_cr_snprintf_MT_R_W)\ + \ + + +# Loki.SafeFormat + +!ifdef LOKI +OBJ_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.obj +PDB_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D = \ + $(OBJ_lok_sfmt_MT_D)\ + \ + +PDB_LOKI_SFMT_MT_D = \ + $(PDB_lok_sfmt_MT_D)\ + \ + +OBJ_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.obj +PDB_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D_W = \ + $(OBJ_lok_sfmt_MT_D_W)\ + \ + +PDB_LOKI_SFMT_MT_D_W = \ + $(PDB_lok_sfmt_MT_D_W)\ + \ + +OBJ_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.obj +PDB_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D = \ + $(OBJ_lok_sfmt_DLL_D)\ + \ + +PDB_LOKI_SFMT_DLL_D = \ + $(PDB_lok_sfmt_DLL_D)\ + \ + +OBJ_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.obj +PDB_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D_W = \ + $(OBJ_lok_sfmt_DLL_D_W)\ + \ + +PDB_LOKI_SFMT_DLL_D_W = \ + $(PDB_lok_sfmt_DLL_D_W)\ + \ + +OBJ_lok_sfmt_DLL_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.obj + +OBJ_LOKI_SFMT_DLL_R = \ + $(OBJ_lok_sfmt_DLL_R)\ + \ + +OBJ_lok_sfmt_DLL_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.obj + +OBJ_LOKI_SFMT_DLL_R_W = \ + $(OBJ_lok_sfmt_DLL_R_W)\ + \ + +OBJ_lok_sfmt_MT_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.obj + +OBJ_LOKI_SFMT_MT_R = \ + $(OBJ_lok_sfmt_MT_R)\ + \ + +OBJ_lok_sfmt_MT_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.obj + +OBJ_LOKI_SFMT_MT_R_W = \ + $(OBJ_lok_sfmt_MT_R_W)\ + \ + +!else # LOKI +OBJ_lok_sfmt_MT_D = +PDB_lok_sfmt_MT_D = +OBJ_LOKI_SFMT_MT_D_PM = +PDB_LOKI_SFMT_MT_D_PM = +OBJ_lok_sfmt_MT_D_W = +PDB_lok_sfmt_MT_D_W = +OBJ_LOKI_SFMT_MT_D_W_PM = +PDB_LOKI_SFMT_MT_D_W_PM = +OBJ_lok_sfmt_DLL_D = +PDB_lok_sfmt_DLL_D = +OBJ_LOKI_SFMT_DLL_D_PM = +PDB_LOKI_SFMT_DLL_D_PM = +OBJ_lok_sfmt_DLL_D_W = +PDB_lok_sfmt_DLL_D_W = +OBJ_LOKI_SFMT_DLL_D_W_PM = +PDB_LOKI_SFMT_DLL_D_W_PM = +OBJ_lok_sfmt_DLL_R = +PDB_lok_sfmt_DLL_R = +OBJ_LOKI_SFMT_DLL_R_PM = +PDB_LOKI_SFMT_DLL_R_PM = +OBJ_lok_sfmt_DLL_R_W = +PDB_lok_sfmt_DLL_R_W = +OBJ_LOKI_SFMT_DLL_R_W_PM = +PDB_LOKI_SFMT_DLL_R_W_PM = +OBJ_lok_sfmt_MT_R = +PDB_lok_sfmt_MT_R = +OBJ_LOKI_SFMT_MT_R_PM = +PDB_LOKI_SFMT_MT_R_PM = +OBJ_lok_sfmt_MT_R_W = +PDB_lok_sfmt_MT_R_W = +OBJ_LOKI_SFMT_MT_R_W_PM = +PDB_LOKI_SFMT_MT_R_W_PM = +!endif # LOKI + +# shwild + +OBJ_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.obj +OBJ_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.obj +OBJ_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.obj +PDB_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.pdb +PDB_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.pdb +PDB_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.pdb + +OBJ_SHWILD_MT_D = \ + $(OBJ_shw_api_MT_D)\ + $(OBJ_shw_matches_MT_D)\ + $(OBJ_shw_pattern_MT_D)\ + \ + +PDB_SHWILD_MT_D = \ + $(PDB_shw_api_MT_D)\ + $(PDB_shw_matches_MT_D)\ + $(PDB_shw_pattern_MT_D)\ + \ + +OBJ_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.obj +OBJ_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.obj +OBJ_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.obj +PDB_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.pdb +PDB_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.pdb +PDB_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.pdb + +OBJ_SHWILD_MT_D_W = \ + $(OBJ_shw_api_MT_D_W)\ + $(OBJ_shw_matches_MT_D_W)\ + $(OBJ_shw_pattern_MT_D_W)\ + \ + +PDB_SHWILD_MT_D_W = \ + $(PDB_shw_api_MT_D_W)\ + $(PDB_shw_matches_MT_D_W)\ + $(PDB_shw_pattern_MT_D_W)\ + \ + +OBJ_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.obj +OBJ_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.obj +OBJ_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.obj +PDB_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.pdb +PDB_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.pdb +PDB_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.pdb + +OBJ_SHWILD_DLL_D = \ + $(OBJ_shw_api_DLL_D)\ + $(OBJ_shw_matches_DLL_D)\ + $(OBJ_shw_pattern_DLL_D)\ + \ + +PDB_SHWILD_DLL_D = \ + $(PDB_shw_api_DLL_D)\ + $(PDB_shw_matches_DLL_D)\ + $(PDB_shw_pattern_DLL_D)\ + \ + +OBJ_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.obj +OBJ_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.obj +OBJ_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.obj +PDB_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.pdb +PDB_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.pdb +PDB_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.pdb + +OBJ_SHWILD_DLL_D_W = \ + $(OBJ_shw_api_DLL_D_W)\ + $(OBJ_shw_matches_DLL_D_W)\ + $(OBJ_shw_pattern_DLL_D_W)\ + \ + +PDB_SHWILD_DLL_D_W = \ + $(PDB_shw_api_DLL_D_W)\ + $(PDB_shw_matches_DLL_D_W)\ + $(PDB_shw_pattern_DLL_D_W)\ + \ + +OBJ_shw_api_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.obj +OBJ_shw_matches_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.obj +OBJ_shw_pattern_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.obj + +OBJ_SHWILD_DLL_R = \ + $(OBJ_shw_api_DLL_R)\ + $(OBJ_shw_matches_DLL_R)\ + $(OBJ_shw_pattern_DLL_R)\ + \ + +OBJ_shw_api_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.obj +OBJ_shw_matches_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.obj +OBJ_shw_pattern_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.obj + +OBJ_SHWILD_DLL_R_W = \ + $(OBJ_shw_api_DLL_R_W)\ + $(OBJ_shw_matches_DLL_R_W)\ + $(OBJ_shw_pattern_DLL_R_W)\ + \ + +OBJ_shw_api_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.obj +OBJ_shw_matches_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.obj +OBJ_shw_pattern_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.obj + +OBJ_SHWILD_MT_R = \ + $(OBJ_shw_api_MT_R)\ + $(OBJ_shw_matches_MT_R)\ + $(OBJ_shw_pattern_MT_R)\ + \ + +OBJ_shw_api_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.obj +OBJ_shw_matches_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.obj +OBJ_shw_pattern_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.obj + +OBJ_SHWILD_MT_R_W = \ + $(OBJ_shw_api_MT_R_W)\ + $(OBJ_shw_matches_MT_R_W)\ + $(OBJ_shw_pattern_MT_R_W)\ + \ + + +# xtests + +OBJ_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.obj +PDB_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.pdb + +OBJ_XTESTS_MT_D = \ + $(OBJ_xts_core_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_xts_core_MT_D)\ + \ + +OBJ_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.obj +PDB_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.pdb + +OBJ_XTESTS_MT_D_W = \ + $(OBJ_xts_core_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_xts_core_MT_D_W)\ + \ + +OBJ_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.obj +PDB_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.pdb + +OBJ_XTESTS_DLL_D = \ + $(OBJ_xts_core_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_xts_core_DLL_D)\ + \ + +OBJ_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.obj +PDB_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.pdb + +OBJ_XTESTS_DLL_D_W = \ + $(OBJ_xts_core_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_xts_core_DLL_D_W)\ + \ + +OBJ_xts_core_DLL_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.obj + +OBJ_XTESTS_DLL_R = \ + $(OBJ_xts_core_DLL_R)\ + \ + +OBJ_xts_core_DLL_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.obj + +OBJ_XTESTS_DLL_R_W = \ + $(OBJ_xts_core_DLL_R_W)\ + \ + +OBJ_xts_core_MT_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.obj + +OBJ_XTESTS_MT_R = \ + $(OBJ_xts_core_MT_R)\ + \ + +OBJ_xts_core_MT_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.obj + +OBJ_XTESTS_MT_R_W = \ + $(OBJ_xts_core_MT_R_W)\ + \ + + +######################################## +# Examples + +OBJ_BITBUCKET_MT_D = $(PROJ_OBJ_DIR)\bitbucket.mt.debug.obj +OBJ_BITBUCKET_MT_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.debug.obj +OBJ_BITBUCKET_DLL_D = $(PROJ_OBJ_DIR)\bitbucket.dll.debug.obj +OBJ_BITBUCKET_DLL_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.debug.obj +OBJ_BITBUCKET_DLL_R = $(PROJ_OBJ_DIR)\bitbucket.dll.obj +OBJ_BITBUCKET_DLL_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.obj +OBJ_BITBUCKET_MT_R = $(PROJ_OBJ_DIR)\bitbucket.mt.obj +OBJ_BITBUCKET_MT_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.obj + +OBJ_EX_boolean_MT_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.debug.obj +OBJ_EX_character_MT_D = $(PROJ_OBJ_DIR)\example.arguments.character.mt.debug.obj +OBJ_EX_reals_MT_D = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.debug.obj +OBJ_EX_voidptrs_MT_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.debug.obj +OBJ_EX_ff_101_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.mt.debug.obj +OBJ_EX_ff_101_nons_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.debug.obj +OBJ_EX_flush_MT_D = $(PROJ_OBJ_DIR)\example.flush.mt.debug.obj +OBJ_EX_ins_hex_MT_D = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.debug.obj +OBJ_EX_ins_integers_MT_D = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.debug.obj +OBJ_EX_ins_reals_MT_D = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.debug.obj +OBJ_EX_ins_WLIs_MT_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.debug.obj +OBJ_EX_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.debug.obj +OBJ_EX_ovld2_filt_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.debug.obj +OBJ_EX_ovld2_ins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.debug.obj +OBJ_EX_ovld2_sas_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.debug.obj +OBJ_EX_ovld3_fpcol_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.debug.obj +OBJ_EX_ovld3_tabs_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.debug.obj +OBJ_EX_ovld3_svrlg_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.debug.obj +OBJ_EX_ovld3_rcins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.debug.obj +OBJ_EX_pad_hash_MT_D = $(PROJ_OBJ_DIR)\example.pad.hash.mt.debug.obj +OBJ_EX_sink_cstr_MT_D = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.debug.obj +OBJ_EX_sink_ODS_MT_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.debug.obj + +OBJ_EX_boolean_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.debug.obj +OBJ_EX_character_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.debug.obj +OBJ_EX_reals_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.debug.obj +OBJ_EX_voidptrs_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.debug.obj +OBJ_EX_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_EX_sink_ODS_MT_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.debug.obj + +OBJ_EX_boolean_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.debug.obj +OBJ_EX_character_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.character.dll.debug.obj +OBJ_EX_reals_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.debug.obj +OBJ_EX_voidptrs_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.debug.obj +OBJ_EX_ff_101_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.dll.debug.obj +OBJ_EX_ff_101_nons_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.debug.obj +OBJ_EX_flush_DLL_D = $(PROJ_OBJ_DIR)\example.flush.dll.debug.obj +OBJ_EX_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.debug.obj +OBJ_EX_ins_integers_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.debug.obj +OBJ_EX_ins_reals_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.debug.obj +OBJ_EX_ins_WLIs_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.debug.obj +OBJ_EX_ovld2_filt_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.debug.obj +OBJ_EX_ovld2_ins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.debug.obj +OBJ_EX_ovld2_sas_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.debug.obj +OBJ_EX_ovld3_fpcol_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.debug.obj +OBJ_EX_ovld3_tabs_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.debug.obj +OBJ_EX_ovld3_svrlg_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.debug.obj +OBJ_EX_ovld3_rcins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.debug.obj +OBJ_EX_pad_hash_DLL_D = $(PROJ_OBJ_DIR)\example.pad.hash.dll.debug.obj +OBJ_EX_sink_cstr_DLL_D = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.debug.obj + +OBJ_EX_boolean_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.debug.obj +OBJ_EX_character_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.debug.obj +OBJ_EX_reals_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.debug.obj +OBJ_EX_voidptrs_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.debug.obj + +OBJ_EX_boolean_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.obj +OBJ_EX_character_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.character.dll.obj +OBJ_EX_reals_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.obj +OBJ_EX_voidptrs_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.obj +OBJ_EX_ff_101_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.dll.obj +OBJ_EX_ff_101_nons_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.obj +OBJ_EX_flush_DLL_R = $(PROJ_OBJ_DIR)\example.flush.dll.obj +OBJ_EX_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.obj +OBJ_EX_ins_integers_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.obj +OBJ_EX_ins_reals_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.obj +OBJ_EX_ins_WLIs_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.obj +OBJ_EX_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.obj +OBJ_EX_ovld2_filt_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.obj +OBJ_EX_ovld2_ins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.obj +OBJ_EX_ovld2_sas_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.obj +OBJ_EX_ovld3_fpcol_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.obj +OBJ_EX_ovld3_tabs_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.obj +OBJ_EX_ovld3_svrlg_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.obj +OBJ_EX_ovld3_rcins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.obj +OBJ_EX_pad_hash_DLL_R = $(PROJ_OBJ_DIR)\example.pad.hash.dll.obj +OBJ_EX_sink_cstr_DLL_R = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.obj +OBJ_EX_sink_ODS_DLL_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.obj + +OBJ_EX_boolean_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.obj +OBJ_EX_character_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.obj +OBJ_EX_reals_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.obj +OBJ_EX_voidptrs_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.obj +OBJ_EX_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.obj +OBJ_EX_sink_ODS_DLL_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.obj + +OBJ_EX_boolean_MT_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.obj +OBJ_EX_character_MT_R = $(PROJ_OBJ_DIR)\example.arguments.character.mt.obj +OBJ_EX_reals_MT_R = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.obj +OBJ_EX_voidptrs_MT_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.obj +OBJ_EX_ff_101_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.mt.obj +OBJ_EX_ff_101_nons_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.obj +OBJ_EX_flush_MT_R = $(PROJ_OBJ_DIR)\example.flush.mt.obj +OBJ_EX_ins_hex_MT_R = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.obj +OBJ_EX_ins_integers_MT_R = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.obj +OBJ_EX_ins_reals_MT_R = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.obj +OBJ_EX_ins_WLIs_MT_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.obj +OBJ_EX_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.obj +OBJ_EX_ovld2_filt_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.obj +OBJ_EX_ovld2_ins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.obj +OBJ_EX_ovld2_sas_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.obj +OBJ_EX_ovld3_fpcol_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.obj +OBJ_EX_ovld3_tabs_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.obj +OBJ_EX_ovld3_svrlg_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.obj +OBJ_EX_ovld3_rcins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.obj +OBJ_EX_pad_hash_MT_R = $(PROJ_OBJ_DIR)\example.pad.hash.mt.obj +OBJ_EX_sink_cstr_MT_R = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.obj +OBJ_EX_sink_ODS_MT_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.obj + +OBJ_EX_boolean_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.obj +OBJ_EX_character_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.obj +OBJ_EX_reals_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.obj +OBJ_EX_voidptrs_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.obj +OBJ_EX_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.obj +OBJ_EX_sink_ODS_MT_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.obj + + +######################################## +# Tests + +############################## +# Component Tests + +OBJ_TEST_COMP_file_MT_D = $(PROJ_OBJ_DIR)\test.component.FILE.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D = $(PROJ_OBJ_DIR)\test.component.fsde.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.debug.obj +OBJ_TEST_COMP_file_MT_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_COMP_file_DLL_D = $(PROJ_OBJ_DIR)\test.component.FILE.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D = $(PROJ_OBJ_DIR)\test.component.fsde.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.debug.obj +OBJ_TEST_COMP_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_COMP_file_DLL_R = $(PROJ_OBJ_DIR)\test.component.FILE.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.obj +OBJ_TEST_COMP_x_1_DLL_R = $(PROJ_OBJ_DIR)\test.component.fsde.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.obj +OBJ_TEST_COMP_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.obj +OBJ_TEST_COMP_x_1_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.obj +OBJ_TEST_COMP_file_MT_R = $(PROJ_OBJ_DIR)\test.component.FILE.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.obj +OBJ_TEST_COMP_ins_int_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.obj +OBJ_TEST_COMP_ins_real_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.obj +OBJ_TEST_COMP_null_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.obj +OBJ_TEST_COMP_write_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.obj +OBJ_TEST_COMP_x_1_MT_R = $(PROJ_OBJ_DIR)\test.component.fsde.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.obj +OBJ_TEST_COMP_file_MT_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.obj +OBJ_TEST_COMP_ins_int_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.obj +OBJ_TEST_COMP_ins_real_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.obj +OBJ_TEST_COMP_null_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_COMP_write_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.obj +OBJ_TEST_COMP_x_1_MT_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.obj + +############################## +# Performance Tests + +OBJ_TEST_PERF_scen1_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.obj +OBJ_TEST_PERF_scen2_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.obj +OBJ_TEST_PERF_scen3_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.obj +OBJ_TEST_PERF_scen4_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.obj +OBJ_TEST_PERF_scen5_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.obj +OBJ_TEST_PERF_scen6_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.obj +OBJ_TEST_PERF_scen7_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.obj +OBJ_TEST_PERF_scen2_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.obj +OBJ_TEST_PERF_scen3_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.obj +OBJ_TEST_PERF_scen4_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.obj +OBJ_TEST_PERF_scen5_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.obj +OBJ_TEST_PERF_scen6_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.obj +OBJ_TEST_PERF_scen7_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.obj +OBJ_TEST_PERF_scen2_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.obj +OBJ_TEST_PERF_scen3_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.obj +OBJ_TEST_PERF_scen4_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.obj +OBJ_TEST_PERF_scen5_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.obj +OBJ_TEST_PERF_scen6_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.obj +OBJ_TEST_PERF_scen7_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.obj +OBJ_TEST_PERF_scen2_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.obj +OBJ_TEST_PERF_scen3_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.obj +OBJ_TEST_PERF_scen4_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.obj +OBJ_TEST_PERF_scen5_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.obj +OBJ_TEST_PERF_scen6_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.obj +OBJ_TEST_PERF_scen7_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.obj +!endif # BOOST + +############################## +# Scratch Tests + +OBJ_TEST_SCRT_file_MT_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.debug.obj +OBJ_TEST_SCRT_file_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.debug.obj +OBJ_TEST_SCRT_file_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.obj +OBJ_TEST_SCRT_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.obj +OBJ_TEST_SCRT_file_MT_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.obj +OBJ_TEST_SCRT_file_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.obj + +OBJ_TEST_SCRT_speech_MT_D = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.debug.obj +OBJ_TEST_SCRT_speech_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.debug.obj +OBJ_TEST_SCRT_speech_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.obj +OBJ_TEST_SCRT_speech_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.obj +OBJ_TEST_SCRT_speech_MT_R = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.obj +OBJ_TEST_SCRT_speech_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.obj + +############################## +# Unit Tests + +OBJ_TEST_UNIT_api_acsllen_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.obj +OBJ_TEST_UNIT_api_init_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_init_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.obj + +################################################## +# Target groups + +######################################## +# Objects + +# fastformat +OBJS_CORE = \ + $(OBJ_CORE_MT_D)\ + $(OBJ_CORE_MT_D_W)\ + $(OBJ_CORE_DLL_D)\ + $(OBJ_CORE_DLL_D_W)\ + $(OBJ_CORE_DLL_R)\ + $(OBJ_CORE_DLL_R_W)\ + $(OBJ_CORE_MT_R)\ + $(OBJ_CORE_MT_R_W)\ + \ + +# Loki.SafeFormat +OBJS_LOKI_SFMT = \ + $(OBJ_LOKI_SFMT_MT_D)\ + $(OBJ_LOKI_SFMT_MT_D_W)\ + $(OBJ_LOKI_SFMT_DLL_D)\ + $(OBJ_LOKI_SFMT_DLL_D_W)\ + $(OBJ_LOKI_SFMT_DLL_R)\ + $(OBJ_LOKI_SFMT_DLL_R_W)\ + $(OBJ_LOKI_SFMT_MT_R)\ + $(OBJ_LOKI_SFMT_MT_R_W)\ + \ + +# shwild +OBJS_SHWILD = \ + $(OBJ_SHWILD_MT_D)\ + $(OBJ_SHWILD_MT_D_W)\ + $(OBJ_SHWILD_DLL_D)\ + $(OBJ_SHWILD_DLL_D_W)\ + $(OBJ_SHWILD_DLL_R)\ + $(OBJ_SHWILD_DLL_R_W)\ + $(OBJ_SHWILD_MT_R)\ + $(OBJ_SHWILD_MT_R_W)\ + \ + +# xtests +OBJS_XTESTS = \ + $(OBJ_XTESTS_MT_D)\ + $(OBJ_XTESTS_MT_D_W)\ + $(OBJ_XTESTS_DLL_D)\ + $(OBJ_XTESTS_DLL_D_W)\ + $(OBJ_XTESTS_DLL_R)\ + $(OBJ_XTESTS_DLL_R_W)\ + $(OBJ_XTESTS_MT_R)\ + $(OBJ_XTESTS_MT_R_W)\ + \ + +# Examples +OBJS_EXAMPLE=\ + $(OBJ_EX_boolean_MT_D)\ + $(OBJ_EX_character_MT_D)\ + $(OBJ_EX_reals_MT_D)\ + $(OBJ_EX_voidptrs_MT_D)\ + $(OBJ_EX_ff_101_MT_D)\ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(OBJ_EX_flush_MT_D)\ + $(OBJ_EX_ins_hex_MT_D)\ + $(OBJ_EX_ins_integers_MT_D)\ + $(OBJ_EX_ins_reals_MT_D)\ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(OBJ_EX_itr_fmt_MT_D)\ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(OBJ_EX_pad_hash_MT_D)\ + $(OBJ_EX_sink_cstr_MT_D)\ + $(OBJ_EX_sink_ODS_MT_D)\ + $(OBJ_EX_boolean_MT_D_W)\ + $(OBJ_EX_character_MT_D_W)\ + $(OBJ_EX_reals_MT_D_W)\ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(OBJ_EX_boolean_DLL_D)\ + $(OBJ_EX_character_DLL_D)\ + $(OBJ_EX_reals_DLL_D)\ + $(OBJ_EX_voidptrs_DLL_D)\ + $(OBJ_EX_ff_101_DLL_D)\ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(OBJ_EX_flush_DLL_D)\ + $(OBJ_EX_ins_hex_DLL_D)\ + $(OBJ_EX_ins_integers_DLL_D)\ + $(OBJ_EX_ins_reals_DLL_D)\ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(OBJ_EX_pad_hash_DLL_D)\ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(OBJ_EX_boolean_DLL_D_W)\ + $(OBJ_EX_character_DLL_D_W)\ + $(OBJ_EX_reals_DLL_D_W)\ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(OBJ_EX_boolean_DLL_R)\ + $(OBJ_EX_character_DLL_R)\ + $(OBJ_EX_reals_DLL_R)\ + $(OBJ_EX_voidptrs_DLL_R)\ + $(OBJ_EX_ff_101_DLL_R)\ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(OBJ_EX_flush_DLL_R)\ + $(OBJ_EX_ins_hex_DLL_R)\ + $(OBJ_EX_ins_integers_DLL_R)\ + $(OBJ_EX_ins_reals_DLL_R)\ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(OBJ_EX_pad_hash_DLL_R)\ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(OBJ_EX_boolean_DLL_R_W)\ + $(OBJ_EX_character_DLL_R_W)\ + $(OBJ_EX_reals_DLL_R_W)\ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(OBJ_EX_boolean_MT_R)\ + $(OBJ_EX_character_MT_R)\ + $(OBJ_EX_reals_MT_R)\ + $(OBJ_EX_voidptrs_MT_R)\ + $(OBJ_EX_ff_101_MT_R)\ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(OBJ_EX_flush_MT_R)\ + $(OBJ_EX_ins_hex_MT_R)\ + $(OBJ_EX_ins_integers_MT_R)\ + $(OBJ_EX_ins_reals_MT_R)\ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(OBJ_EX_itr_fmt_MT_R)\ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(OBJ_EX_pad_hash_MT_R)\ + $(OBJ_EX_sink_cstr_MT_R)\ + $(OBJ_EX_sink_ODS_MT_R)\ + $(OBJ_EX_boolean_MT_R_W)\ + $(OBJ_EX_character_MT_R_W)\ + $(OBJ_EX_reals_MT_R_W)\ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(OBJ_EX_sink_ODS_MT_R_W)\ + \ + +# Component Tests +OBJS_TEST_COMPONENT=\ + $(OBJ_TEST_COMP_file_MT_D)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_COMP_file_MT_R)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +# Performance Tests +OBJS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +OBJS_TEST_PERFORMANCE_BOOST=\ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(OBJ_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +OBJS_TEST_PERFORMANCE=\ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(OBJS_TEST_PERFORMANCE_BOOST)\ + \ + +# Scratch Tests +OBJS_TEST_SCRATCH=\ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + \ + +# Unit Tests +OBJS_TEST_UNIT=\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +######################################## +# Libraries + +TARGETS_LIB_CORE = \ + $(LIB_CORE_MT_D)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_CORE_DLL_D)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_CORE_DLL_R)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_CORE_MT_R)\ + $(LIB_CORE_MT_R_W)\ + \ + +TARGETS_LIB_LOKI_SFMT = \ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + \ + +TARGETS_LIB_SHWILD = \ + $(LIB_SHWILD_MT_D)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_SHWILD_MT_R_W)\ + \ + +TARGETS_LIB_XTESTS = \ + $(LIB_XTESTS_MT_D)\ + $(LIB_XTESTS_MT_D_W)\ + $(LIB_XTESTS_DLL_D)\ + $(LIB_XTESTS_DLL_D_W)\ + $(LIB_XTESTS_DLL_R)\ + $(LIB_XTESTS_DLL_R_W)\ + $(LIB_XTESTS_MT_R)\ + $(LIB_XTESTS_MT_R_W)\ + \ + +######################################## +# Programs + +TARGETS_BITBUCKET=\ + $(TARG_BITBUCKET_MT_D)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(TARG_BITBUCKET_DLL_D)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_R)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(TARG_BITBUCKET_MT_R)\ + $(TARG_BITBUCKET_MT_R_W)\ + \ + +TARGETS_EXAMPLE=\ + $(TARG_EX_boolean_MT_D)\ + $(TARG_EX_character_MT_D)\ + $(TARG_EX_reals_MT_D)\ + $(TARG_EX_voidptrs_MT_D)\ + $(TARG_EX_ff_101_MT_D)\ + $(TARG_EX_ff_101_nons_MT_D)\ + $(TARG_EX_flush_MT_D)\ + $(TARG_EX_ins_hex_MT_D)\ + $(TARG_EX_ins_integers_MT_D)\ + $(TARG_EX_ins_reals_MT_D)\ + $(TARG_EX_ins_WLIs_MT_D)\ + $(TARG_EX_itr_fmt_MT_D)\ + $(TARG_EX_ovld2_filt_MT_D)\ + $(TARG_EX_ovld2_ins_MT_D)\ + $(TARG_EX_ovld2_sas_MT_D)\ + $(TARG_EX_ovld3_fpcol_MT_D)\ + $(TARG_EX_ovld3_tabs_MT_D)\ + $(TARG_EX_ovld3_svrlg_MT_D)\ + $(TARG_EX_ovld3_rcins_MT_D)\ + $(TARG_EX_pad_hash_MT_D)\ + $(TARG_EX_sink_cstr_MT_D)\ + $(TARG_EX_sink_ODS_MT_D)\ + $(TARG_EX_boolean_MT_D_W)\ + $(TARG_EX_character_MT_D_W)\ + $(TARG_EX_reals_MT_D_W)\ + $(TARG_EX_voidptrs_MT_D_W)\ + $(TARG_EX_itr_fmt_MT_D_W)\ + $(TARG_EX_sink_ODS_MT_D_W)\ + $(TARG_EX_boolean_DLL_D)\ + $(TARG_EX_character_DLL_D)\ + $(TARG_EX_reals_DLL_D)\ + $(TARG_EX_voidptrs_DLL_D)\ + $(TARG_EX_ff_101_DLL_D)\ + $(TARG_EX_ff_101_nons_DLL_D)\ + $(TARG_EX_flush_DLL_D)\ + $(TARG_EX_ins_hex_DLL_D)\ + $(TARG_EX_ins_integers_DLL_D)\ + $(TARG_EX_ins_reals_DLL_D)\ + $(TARG_EX_ins_WLIs_DLL_D)\ + $(TARG_EX_itr_fmt_DLL_D)\ + $(TARG_EX_ovld2_filt_DLL_D)\ + $(TARG_EX_ovld2_ins_DLL_D)\ + $(TARG_EX_ovld2_sas_DLL_D)\ + $(TARG_EX_ovld3_fpcol_DLL_D)\ + $(TARG_EX_ovld3_tabs_DLL_D)\ + $(TARG_EX_ovld3_svrlg_DLL_D)\ + $(TARG_EX_ovld3_rcins_DLL_D)\ + $(TARG_EX_pad_hash_DLL_D)\ + $(TARG_EX_sink_cstr_DLL_D)\ + $(TARG_EX_sink_ODS_DLL_D)\ + $(TARG_EX_boolean_DLL_D_W)\ + $(TARG_EX_character_DLL_D_W)\ + $(TARG_EX_reals_DLL_D_W)\ + $(TARG_EX_voidptrs_DLL_D_W)\ + $(TARG_EX_itr_fmt_DLL_D_W)\ + $(TARG_EX_sink_ODS_DLL_D_W)\ + $(TARG_EX_boolean_DLL_R)\ + $(TARG_EX_character_DLL_R)\ + $(TARG_EX_reals_DLL_R)\ + $(TARG_EX_voidptrs_DLL_R)\ + $(TARG_EX_ff_101_DLL_R)\ + $(TARG_EX_ff_101_nons_DLL_R)\ + $(TARG_EX_flush_DLL_R)\ + $(TARG_EX_ins_hex_DLL_R)\ + $(TARG_EX_ins_integers_DLL_R)\ + $(TARG_EX_ins_reals_DLL_R)\ + $(TARG_EX_ins_WLIs_DLL_R)\ + $(TARG_EX_itr_fmt_DLL_R)\ + $(TARG_EX_ovld2_filt_DLL_R)\ + $(TARG_EX_ovld2_ins_DLL_R)\ + $(TARG_EX_ovld2_sas_DLL_R)\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_pad_hash_DLL_R)\ + $(TARG_EX_sink_cstr_DLL_R)\ + $(TARG_EX_sink_ODS_DLL_R)\ + $(TARG_EX_boolean_DLL_R_W)\ + $(TARG_EX_character_DLL_R_W)\ + $(TARG_EX_reals_DLL_R_W)\ + $(TARG_EX_voidptrs_DLL_R_W)\ + $(TARG_EX_itr_fmt_DLL_R_W)\ + $(TARG_EX_sink_ODS_DLL_R_W)\ + $(TARG_EX_boolean_MT_R)\ + $(TARG_EX_character_MT_R)\ + $(TARG_EX_reals_MT_R)\ + $(TARG_EX_voidptrs_MT_R)\ + $(TARG_EX_ff_101_MT_R)\ + $(TARG_EX_ff_101_nons_MT_R)\ + $(TARG_EX_flush_MT_R)\ + $(TARG_EX_ins_hex_MT_R)\ + $(TARG_EX_ins_integers_MT_R)\ + $(TARG_EX_ins_reals_MT_R)\ + $(TARG_EX_ins_WLIs_MT_R)\ + $(TARG_EX_itr_fmt_MT_R)\ + $(TARG_EX_ovld2_filt_MT_R)\ + $(TARG_EX_ovld2_ins_MT_R)\ + $(TARG_EX_ovld2_sas_MT_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + $(TARG_EX_pad_hash_MT_R)\ + $(TARG_EX_sink_cstr_MT_R)\ + $(TARG_EX_sink_ODS_MT_R)\ + $(TARG_EX_boolean_MT_R_W)\ + $(TARG_EX_character_MT_R_W)\ + $(TARG_EX_reals_MT_R_W)\ + $(TARG_EX_voidptrs_MT_R_W)\ + $(TARG_EX_itr_fmt_MT_R_W)\ + $(TARG_EX_sink_ODS_MT_R_W)\ + \ + +TARGETS_EXAMPLE_OVERLOAD_3=\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + \ + +TARGETS_SAMPLE=\ + \ + +TARGETS_TEST_COMPONENT=\ + $(TARG_TEST_COMP_file_MT_D)\ + $(TARG_TEST_COMP_fmt_sink_MT_D)\ + $(TARG_TEST_COMP_ins_hex_MT_D)\ + $(TARG_TEST_COMP_ins_int_MT_D)\ + $(TARG_TEST_COMP_ins_real_MT_D)\ + $(TARG_TEST_COMP_itr_fmt_MT_D)\ + $(TARG_TEST_COMP_null_sink_MT_D)\ + $(TARG_TEST_COMP_snk_a_b_MT_D)\ + $(TARG_TEST_COMP_write_sink_MT_D)\ + $(TARG_TEST_COMP_x_1_MT_D)\ + $(TARG_TEST_COMP_snk_ostm_MT_D)\ + $(TARG_TEST_COMP_file_MT_D_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_D_W)\ + $(TARG_TEST_COMP_ins_hex_MT_D_W)\ + $(TARG_TEST_COMP_ins_int_MT_D_W)\ + $(TARG_TEST_COMP_ins_real_MT_D_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_D_W)\ + $(TARG_TEST_COMP_null_sink_MT_D_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_D_W)\ + $(TARG_TEST_COMP_write_sink_MT_D_W)\ + $(TARG_TEST_COMP_x_1_MT_D_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_D_W)\ + $(TARG_TEST_COMP_file_DLL_D)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D)\ + $(TARG_TEST_COMP_ins_hex_DLL_D)\ + $(TARG_TEST_COMP_ins_int_DLL_D)\ + $(TARG_TEST_COMP_ins_real_DLL_D)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D)\ + $(TARG_TEST_COMP_null_sink_DLL_D)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D)\ + $(TARG_TEST_COMP_write_sink_DLL_D)\ + $(TARG_TEST_COMP_x_1_DLL_D)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D)\ + $(TARG_TEST_COMP_file_DLL_D_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_D_W)\ + $(TARG_TEST_COMP_ins_int_DLL_D_W)\ + $(TARG_TEST_COMP_ins_real_DLL_D_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D_W)\ + $(TARG_TEST_COMP_null_sink_DLL_D_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D_W)\ + $(TARG_TEST_COMP_write_sink_DLL_D_W)\ + $(TARG_TEST_COMP_x_1_DLL_D_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D_W)\ + $(TARG_TEST_COMP_file_DLL_R)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R)\ + $(TARG_TEST_COMP_ins_hex_DLL_R)\ + $(TARG_TEST_COMP_ins_int_DLL_R)\ + $(TARG_TEST_COMP_ins_real_DLL_R)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R)\ + $(TARG_TEST_COMP_null_sink_DLL_R)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R)\ + $(TARG_TEST_COMP_write_sink_DLL_R)\ + $(TARG_TEST_COMP_x_1_DLL_R)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R)\ + $(TARG_TEST_COMP_file_DLL_R_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_R_W)\ + $(TARG_TEST_COMP_ins_int_DLL_R_W)\ + $(TARG_TEST_COMP_ins_real_DLL_R_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R_W)\ + $(TARG_TEST_COMP_null_sink_DLL_R_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R_W)\ + $(TARG_TEST_COMP_write_sink_DLL_R_W)\ + $(TARG_TEST_COMP_x_1_DLL_R_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R_W)\ + $(TARG_TEST_COMP_file_MT_R)\ + $(TARG_TEST_COMP_fmt_sink_MT_R)\ + $(TARG_TEST_COMP_ins_hex_MT_R)\ + $(TARG_TEST_COMP_ins_int_MT_R)\ + $(TARG_TEST_COMP_ins_real_MT_R)\ + $(TARG_TEST_COMP_itr_fmt_MT_R)\ + $(TARG_TEST_COMP_null_sink_MT_R)\ + $(TARG_TEST_COMP_snk_a_b_MT_R)\ + $(TARG_TEST_COMP_write_sink_MT_R)\ + $(TARG_TEST_COMP_x_1_MT_R)\ + $(TARG_TEST_COMP_snk_ostm_MT_R)\ + $(TARG_TEST_COMP_file_MT_R_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_R_W)\ + $(TARG_TEST_COMP_ins_hex_MT_R_W)\ + $(TARG_TEST_COMP_ins_int_MT_R_W)\ + $(TARG_TEST_COMP_ins_real_MT_R_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_R_W)\ + $(TARG_TEST_COMP_null_sink_MT_R_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_R_W)\ + $(TARG_TEST_COMP_write_sink_MT_R_W)\ + $(TARG_TEST_COMP_x_1_MT_R_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +TARGETS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +TARGETS_TEST_PERFORMANCE_BOOST=\ + $(TARG_TEST_PERF_scen8_MT_D)\ + $(TARG_TEST_PERF_scen8_DLL_D)\ + $(TARG_TEST_PERF_scen8_DLL_R)\ + $(TARG_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +TARGETS_TEST_PERFORMANCE=\ + $(TARG_TEST_PERF_scen1_MT_D)\ + $(TARG_TEST_PERF_scen2_MT_D)\ + $(TARG_TEST_PERF_scen3_MT_D)\ + $(TARG_TEST_PERF_scen4_MT_D)\ + $(TARG_TEST_PERF_scen5_MT_D)\ + $(TARG_TEST_PERF_scen6_MT_D)\ + $(TARG_TEST_PERF_scen7_MT_D)\ + $(TARG_TEST_PERF_scen1_DLL_D)\ + $(TARG_TEST_PERF_scen2_DLL_D)\ + $(TARG_TEST_PERF_scen3_DLL_D)\ + $(TARG_TEST_PERF_scen4_DLL_D)\ + $(TARG_TEST_PERF_scen5_DLL_D)\ + $(TARG_TEST_PERF_scen6_DLL_D)\ + $(TARG_TEST_PERF_scen7_DLL_D)\ + $(TARG_TEST_PERF_scen1_DLL_R)\ + $(TARG_TEST_PERF_scen2_DLL_R)\ + $(TARG_TEST_PERF_scen3_DLL_R)\ + $(TARG_TEST_PERF_scen4_DLL_R)\ + $(TARG_TEST_PERF_scen5_DLL_R)\ + $(TARG_TEST_PERF_scen6_DLL_R)\ + $(TARG_TEST_PERF_scen7_DLL_R)\ + $(TARG_TEST_PERF_scen1_MT_R)\ + $(TARG_TEST_PERF_scen2_MT_R)\ + $(TARG_TEST_PERF_scen3_MT_R)\ + $(TARG_TEST_PERF_scen4_MT_R)\ + $(TARG_TEST_PERF_scen5_MT_R)\ + $(TARG_TEST_PERF_scen6_MT_R)\ + $(TARG_TEST_PERF_scen7_MT_R)\ + $(TARGETS_TEST_PERFORMANCE_BOOST)\ + \ + +TARGETS_TEST_SCRATCH=\ + $(TARG_TEST_SCRT_file_MT_D)\ + $(TARG_TEST_SCRT_speech_MT_D)\ + $(TARG_TEST_SCRT_file_MT_D_W)\ + $(TARG_TEST_SCRT_speech_MT_D_W)\ + $(TARG_TEST_SCRT_file_DLL_D)\ + $(TARG_TEST_SCRT_speech_DLL_D)\ + $(TARG_TEST_SCRT_file_DLL_D_W)\ + $(TARG_TEST_SCRT_speech_DLL_D_W)\ + $(TARG_TEST_SCRT_file_DLL_R)\ + $(TARG_TEST_SCRT_speech_DLL_R)\ + $(TARG_TEST_SCRT_file_DLL_R_W)\ + $(TARG_TEST_SCRT_speech_DLL_R_W)\ + $(TARG_TEST_SCRT_file_MT_R)\ + $(TARG_TEST_SCRT_speech_MT_R)\ + $(TARG_TEST_SCRT_file_MT_R_W)\ + $(TARG_TEST_SCRT_speech_MT_R_W)\ + \ + +TARGETS_TEST_UNIT=\ + $(TARG_TEST_UNIT_api_acsllen_MT_D)\ + $(TARG_TEST_UNIT_api_crlf_MT_D)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D)\ + $(TARG_TEST_UNIT_api_init_MT_D)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D)\ + $(TARG_TEST_UNIT_ins_ch_MT_D)\ + $(TARG_TEST_UNIT_ins_i_MT_D)\ + $(TARG_TEST_UNIT_shim_fi_MT_D)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D)\ + $(TARG_TEST_UNIT_snk_null_MT_D)\ + $(TARG_TEST_UNIT_snk_ods_MT_D)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D)\ + $(TARG_TEST_UNIT_api_acsllen_MT_D_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(TARG_TEST_UNIT_api_init_MT_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_D_W)\ + $(TARG_TEST_UNIT_ins_i_MT_D_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(TARG_TEST_UNIT_snk_null_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D)\ + $(TARG_TEST_UNIT_api_init_DLL_D)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D)\ + $(TARG_TEST_UNIT_ins_i_DLL_D)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(TARG_TEST_UNIT_snk_null_DLL_D)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_init_DLL_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_D_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R)\ + $(TARG_TEST_UNIT_api_init_DLL_R)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R)\ + $(TARG_TEST_UNIT_ins_i_DLL_R)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(TARG_TEST_UNIT_snk_null_DLL_R)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_init_DLL_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_R_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R)\ + $(TARG_TEST_UNIT_api_crlf_MT_R)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R)\ + $(TARG_TEST_UNIT_api_init_MT_R)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R)\ + $(TARG_TEST_UNIT_ins_ch_MT_R)\ + $(TARG_TEST_UNIT_ins_i_MT_R)\ + $(TARG_TEST_UNIT_shim_fi_MT_R)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R)\ + $(TARG_TEST_UNIT_snk_null_MT_R)\ + $(TARG_TEST_UNIT_snk_ods_MT_R)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(TARG_TEST_UNIT_api_init_MT_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_R_W)\ + $(TARG_TEST_UNIT_ins_i_MT_R_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(TARG_TEST_UNIT_snk_null_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] )\ + +############################################################ +# Third-party libraries + + + + + + + + + +############################################################################ +# External Targets (invokable by make) + +# primary + +_default: build + +usage: + @echo "make [usage | compile | build | test | clean] - default is 'build'" + +# infrastructure + + + +prep.files.for.os: $(PROJ_MAKEFILE_NAME)\ + \ + +prep: prep.files.for.os + + +check.lib.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + +check.bin.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_BIN_DIR)\NUL mkdir $(PROJ_BIN_DIR) + + +# compile targets + +compile.libs.core: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_CORE) + +compile.libs.loki.safefmt: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_LOKI_SFMT) + +compile.libs.shwild: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SHWILD) + +compile.libs.xtests: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_XTESTS) + +compile.libs: compile.libs.core compile.libs.loki.safefmt compile.libs.shwild compile.libs.xtests + +compile.bitbucket: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_BITBUCKET) + +compile.examples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_EXAMPLE) + +compile.samples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SAMPLE) + +compile.test.component: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_COMPONENT) + +compile.test.performance: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_PERFORMANCE) + +compile.test.scratch: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_SCRATCH) + +compile.test.unit: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_UNIT) + +compile.test: compile.test.unit compile.test.component + +compile.test.all: compile.test compile.test.scratch compile.test.performance + +compile: compile.libs compile.test compile.examples compile.samples + +compile.all: compile compile.test.all + + + +# build targets + +build.libs.core: check.lib.dir prep.files.for.os $(TARGETS_LIB_CORE) + +build.libs.loki.safefmt: check.lib.dir prep.files.for.os $(TARGETS_LIB_LOKI_SFMT) + +build.libs.shwild: check.lib.dir prep.files.for.os $(TARGETS_LIB_SHWILD) + +build.libs.xtests: check.lib.dir prep.files.for.os $(TARGETS_LIB_XTESTS) + +build.libs: build.libs.core build.libs.loki.safefmt build.libs.shwild build.libs.xtests + +build.bitbucket: check.bin.dir prep.files.for.os $(TARGETS_BITBUCKET) + +build.examples: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE) + +build.examples.overload.3: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE_OVERLOAD_3) + +build.samples: check.bin.dir prep.files.for.os $(TARGETS_SAMPLE) + +build.test.component: check.bin.dir prep.files.for.os $(TARGETS_TEST_COMPONENT) + +build.test.performance: check.bin.dir prep.files.for.os $(TARGETS_TEST_PERFORMANCE) + +build.test.scratch: check.bin.dir prep.files.for.os $(TARGETS_TEST_SCRATCH) + +build.test.unit: check.bin.dir prep.files.for.os $(TARGETS_TEST_UNIT) + +build.test: build.test.unit build.test.component build.test.performance + +build.test.all: build.test build.test.scratch + +build: build.libs build.examples build.samples build.test + +build.all: build build.test.all + + + +# test targets + +test.component_: + @echo Running Test:Component programs ... + $(TARG_TEST_COMP_file_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.performance_: + @echo Running Test:Performance programs ... + $(TARG_TEST_PERF_scen1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_DLL_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_DLL_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + $(TARG_TEST_PERF_scen1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_MT_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_MT_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + +test.scratch_: + @echo Running Test:Scratch programs ... + $(TARG_TEST_SCRT_file_MT_D) + $(TARG_TEST_SCRT_speech_MT_D) + $(TARG_TEST_SCRT_file_MT_D_W) + $(TARG_TEST_SCRT_speech_MT_D_W) + $(TARG_TEST_SCRT_file_DLL_D) + $(TARG_TEST_SCRT_speech_DLL_D) + $(TARG_TEST_SCRT_file_DLL_D_W) + $(TARG_TEST_SCRT_speech_DLL_D_W) + $(TARG_TEST_SCRT_file_DLL_R) + $(TARG_TEST_SCRT_speech_DLL_R) + $(TARG_TEST_SCRT_file_DLL_R_W) + $(TARG_TEST_SCRT_speech_DLL_R_W) + $(TARG_TEST_SCRT_file_MT_R) + $(TARG_TEST_SCRT_speech_MT_R) + $(TARG_TEST_SCRT_file_MT_R_W) + $(TARG_TEST_SCRT_speech_MT_R_W) + +test.unit_: + @echo Running Test:Unit programs ... + $(TARG_TEST_UNIT_api_acsllen_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] ) --verbosity=$(TEST_VERBOSITY) + +test.examples_: + @echo Running Example programs ... + $(TARG_EX_boolean_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_integers_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_reals_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_WLIs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld2_filt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_tabs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_svrlg_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_rcins_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_pad_hash_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_cstr_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_ODS_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D_W) + $(TARG_EX_sink_ODS_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_integers_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_WLIs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld2_filt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_tabs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_svrlg_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_rcins_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_pad_hash_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_cstr_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_ODS_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D_W) + $(TARG_EX_sink_ODS_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_integers_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_WLIs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld2_filt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_pad_hash_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_cstr_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_ODS_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R_W) + $(TARG_EX_sink_ODS_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_integers_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_reals_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_WLIs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld2_filt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_pad_hash_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_cstr_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_ODS_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R_W) + $(TARG_EX_sink_ODS_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.examples.overload.3_: + @echo Running Overload 3 Example programs ... + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + +test.component: build.test.component test.component_ + +test.performance: build.test.performance test.performance_ + +test.scratch: build.test.scratch test.scratch_ + +test.unit: build.test.unit test.unit_ + +test.examples: build.examples test.examples_ + +test.examples.overload.3: build.examples.overload.3 test.examples.overload.3_ + +test: test.unit test.component + +test.all: build.all test test.performance test.scratch test.examples +test-all: test.all + + + +# clean targets + +clean.libs.core: + @echo cleaning Core libraries ... + @-if exist core.*.obj $(RM) core.*.obj + @-if exist core.*.pdb $(RM) core.*.pdb + @-if exist core.*.manifest $(RM) core.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.loki.safefmt: + @echo cleaning Loki.SafeFormat libraries ... + @-if exist loki.safefmt.*.obj $(RM) loki.safefmt.*.obj + @-if exist loki.safefmt.*.pdb $(RM) loki.safefmt.*.pdb + @-if exist loki.safefmt.*.manifest $(RM) loki.safefmt.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.shwild: + @echo cleaning shwild libraries ... + @-if exist shwild.*.obj $(RM) shwild.*.obj + @-if exist shwild.*.pdb $(RM) shwild.*.pdb + @-if exist shwild.*.manifest $(RM) shwild.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.xtests: + @echo cleaning xTests libraries ... + @-if exist xtests.*.obj $(RM) xtests.*.obj + @-if exist xtests.*.pdb $(RM) xtests.*.pdb + @-if exist xtests.*.manifest $(RM) xtests.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs: clean.libs.core clean.libs.loki.safefmt clean.libs.shwild clean.libs.xtests + +clean.bitbucket: + @echo cleaning Bitbucket programs ... + @-if exist $(TARG_BITBUCKET_MT_D) $(RM) $(TARG_BITBUCKET_MT_D) + @-if exist $(OBJ_BITBUCKET_MT_D) $(RM) $(OBJ_BITBUCKET_MT_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_D_W) $(RM) $(TARG_BITBUCKET_MT_D_W) + @-if exist $(OBJ_BITBUCKET_MT_D_W) $(RM) $(OBJ_BITBUCKET_MT_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D) $(RM) $(TARG_BITBUCKET_DLL_D) + @-if exist $(OBJ_BITBUCKET_DLL_D) $(RM) $(OBJ_BITBUCKET_DLL_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D_W) $(RM) $(TARG_BITBUCKET_DLL_D_W) + @-if exist $(OBJ_BITBUCKET_DLL_D_W) $(RM) $(OBJ_BITBUCKET_DLL_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R) $(RM) $(TARG_BITBUCKET_DLL_R) + @-if exist $(OBJ_BITBUCKET_DLL_R) $(RM) $(OBJ_BITBUCKET_DLL_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R_W) $(RM) $(TARG_BITBUCKET_DLL_R_W) + @-if exist $(OBJ_BITBUCKET_DLL_R_W) $(RM) $(OBJ_BITBUCKET_DLL_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R) $(RM) $(TARG_BITBUCKET_MT_R) + @-if exist $(OBJ_BITBUCKET_MT_R) $(RM) $(OBJ_BITBUCKET_MT_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R_W) $(RM) $(TARG_BITBUCKET_MT_R_W) + @-if exist $(OBJ_BITBUCKET_MT_R_W) $(RM) $(OBJ_BITBUCKET_MT_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + +clean.examples: + @echo cleaning Example programs ... + @-if exist $(TARG_EX_boolean_MT_D) $(RM) $(TARG_EX_boolean_MT_D) + @-if exist $(OBJ_EX_boolean_MT_D) $(RM) $(OBJ_EX_boolean_MT_D) + @-if exist $(TARG_EX_character_MT_D) $(RM) $(TARG_EX_character_MT_D) + @-if exist $(OBJ_EX_character_MT_D) $(RM) $(OBJ_EX_character_MT_D) + @-if exist $(TARG_EX_reals_MT_D) $(RM) $(TARG_EX_reals_MT_D) + @-if exist $(OBJ_EX_reals_MT_D) $(RM) $(OBJ_EX_reals_MT_D) + @-if exist $(TARG_EX_voidptrs_MT_D) $(RM) $(TARG_EX_voidptrs_MT_D) + @-if exist $(OBJ_EX_voidptrs_MT_D) $(RM) $(OBJ_EX_voidptrs_MT_D) + @-if exist $(TARG_EX_ff_101_MT_D) $(RM) $(TARG_EX_ff_101_MT_D) + @-if exist $(OBJ_EX_ff_101_MT_D) $(RM) $(OBJ_EX_ff_101_MT_D) + @-if exist $(TARG_EX_ff_101_nons_MT_D) $(RM) $(TARG_EX_ff_101_nons_MT_D) + @-if exist $(OBJ_EX_ff_101_nons_MT_D) $(RM) $(OBJ_EX_ff_101_nons_MT_D) + @-if exist $(TARG_EX_flush_MT_D) $(RM) $(TARG_EX_flush_MT_D) + @-if exist $(OBJ_EX_flush_MT_D) $(RM) $(OBJ_EX_flush_MT_D) + @-if exist $(TARG_EX_ins_hex_MT_D) $(RM) $(TARG_EX_ins_hex_MT_D) + @-if exist $(OBJ_EX_ins_hex_MT_D) $(RM) $(OBJ_EX_ins_hex_MT_D) + @-if exist $(TARG_EX_ins_integers_MT_D) $(RM) $(TARG_EX_ins_integers_MT_D) + @-if exist $(OBJ_EX_ins_integers_MT_D) $(RM) $(OBJ_EX_ins_integers_MT_D) + @-if exist $(TARG_EX_ins_WLIs_MT_D) $(RM) $(TARG_EX_ins_WLIs_MT_D) + @-if exist $(OBJ_EX_ins_WLIs_MT_D) $(RM) $(OBJ_EX_ins_WLIs_MT_D) + @-if exist $(TARG_EX_ins_reals_MT_D) $(RM) $(TARG_EX_ins_reals_MT_D) + @-if exist $(OBJ_EX_ins_reals_MT_D) $(RM) $(OBJ_EX_ins_reals_MT_D) + @-if exist $(TARG_EX_itr_fmt_MT_D) $(RM) $(TARG_EX_itr_fmt_MT_D) + @-if exist $(OBJ_EX_itr_fmt_MT_D) $(RM) $(OBJ_EX_itr_fmt_MT_D) + @-if exist $(TARG_EX_ovld2_filt_MT_D) $(RM) $(TARG_EX_ovld2_filt_MT_D) + @-if exist $(OBJ_EX_ovld2_filt_MT_D) $(RM) $(OBJ_EX_ovld2_filt_MT_D) + @-if exist $(TARG_EX_ovld2_ins_MT_D) $(RM) $(TARG_EX_ovld2_ins_MT_D) + @-if exist $(OBJ_EX_ovld2_ins_MT_D) $(RM) $(OBJ_EX_ovld2_ins_MT_D) + @-if exist $(TARG_EX_ovld2_sas_MT_D) $(RM) $(TARG_EX_ovld2_sas_MT_D) + @-if exist $(OBJ_EX_ovld2_sas_MT_D) $(RM) $(OBJ_EX_ovld2_sas_MT_D) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D) $(RM) $(TARG_EX_ovld3_fpcol_MT_D) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D) + @-if exist $(TARG_EX_ovld3_tabs_MT_D) $(RM) $(TARG_EX_ovld3_tabs_MT_D) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D) $(RM) $(OBJ_EX_ovld3_tabs_MT_D) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D) $(RM) $(TARG_EX_ovld3_svrlg_MT_D) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D) + @-if exist $(TARG_EX_ovld3_rcins_MT_D) $(RM) $(TARG_EX_ovld3_rcins_MT_D) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D) $(RM) $(OBJ_EX_ovld3_rcins_MT_D) + @-if exist $(TARG_EX_pad_hash_MT_D) $(RM) $(TARG_EX_pad_hash_MT_D) + @-if exist $(OBJ_EX_pad_hash_MT_D) $(RM) $(OBJ_EX_pad_hash_MT_D) + @-if exist $(TARG_EX_sink_cstr_MT_D) $(RM) $(TARG_EX_sink_cstr_MT_D) + @-if exist $(OBJ_EX_sink_cstr_MT_D) $(RM) $(OBJ_EX_sink_cstr_MT_D) + @-if exist $(TARG_EX_sink_ODS_MT_D) $(RM) $(TARG_EX_sink_ODS_MT_D) + @-if exist $(OBJ_EX_sink_ODS_MT_D) $(RM) $(OBJ_EX_sink_ODS_MT_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_D_W) $(RM) $(TARG_EX_boolean_MT_D_W) + @-if exist $(OBJ_EX_boolean_MT_D_W) $(RM) $(OBJ_EX_boolean_MT_D_W) + @-if exist $(TARG_EX_character_MT_D_W) $(RM) $(TARG_EX_character_MT_D_W) + @-if exist $(OBJ_EX_character_MT_D_W) $(RM) $(OBJ_EX_character_MT_D_W) + @-if exist $(TARG_EX_reals_MT_D_W) $(RM) $(TARG_EX_reals_MT_D_W) + @-if exist $(OBJ_EX_reals_MT_D_W) $(RM) $(OBJ_EX_reals_MT_D_W) + @-if exist $(TARG_EX_voidptrs_MT_D_W) $(RM) $(TARG_EX_voidptrs_MT_D_W) + @-if exist $(OBJ_EX_voidptrs_MT_D_W) $(RM) $(OBJ_EX_voidptrs_MT_D_W) + @-if exist $(TARG_EX_ff_101_MT_D_W) $(RM) $(TARG_EX_ff_101_MT_D_W) + @-if exist $(OBJ_EX_ff_101_MT_D_W) $(RM) $(OBJ_EX_ff_101_MT_D_W) + @-if exist $(TARG_EX_ff_101_nons_MT_D_W) $(RM) $(TARG_EX_ff_101_nons_MT_D_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_D_W) $(RM) $(OBJ_EX_ff_101_nons_MT_D_W) + @-if exist $(TARG_EX_flush_MT_D_W) $(RM) $(TARG_EX_flush_MT_D_W) + @-if exist $(OBJ_EX_flush_MT_D_W) $(RM) $(OBJ_EX_flush_MT_D_W) + @-if exist $(TARG_EX_ins_hex_MT_D_W) $(RM) $(TARG_EX_ins_hex_MT_D_W) + @-if exist $(OBJ_EX_ins_hex_MT_D_W) $(RM) $(OBJ_EX_ins_hex_MT_D_W) + @-if exist $(TARG_EX_ins_integers_MT_D_W) $(RM) $(TARG_EX_ins_integers_MT_D_W) + @-if exist $(OBJ_EX_ins_integers_MT_D_W) $(RM) $(OBJ_EX_ins_integers_MT_D_W) + @-if exist $(TARG_EX_ins_WLIs_MT_D_W) $(RM) $(TARG_EX_ins_WLIs_MT_D_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_D_W) $(RM) $(OBJ_EX_ins_WLIs_MT_D_W) + @-if exist $(TARG_EX_ins_reals_MT_D_W) $(RM) $(TARG_EX_ins_reals_MT_D_W) + @-if exist $(OBJ_EX_ins_reals_MT_D_W) $(RM) $(OBJ_EX_ins_reals_MT_D_W) + @-if exist $(TARG_EX_itr_fmt_MT_D_W) $(RM) $(TARG_EX_itr_fmt_MT_D_W) + @-if exist $(OBJ_EX_itr_fmt_MT_D_W) $(RM) $(OBJ_EX_itr_fmt_MT_D_W) + @-if exist $(TARG_EX_ovld2_filt_MT_D_W) $(RM) $(TARG_EX_ovld2_filt_MT_D_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_D_W) $(RM) $(OBJ_EX_ovld2_filt_MT_D_W) + @-if exist $(TARG_EX_ovld2_ins_MT_D_W) $(RM) $(TARG_EX_ovld2_ins_MT_D_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_D_W) $(RM) $(OBJ_EX_ovld2_ins_MT_D_W) + @-if exist $(TARG_EX_ovld2_sas_MT_D_W) $(RM) $(TARG_EX_ovld2_sas_MT_D_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_D_W) $(RM) $(OBJ_EX_ovld2_sas_MT_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_D_W) $(RM) $(TARG_EX_ovld3_tabs_MT_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_D_W) $(RM) $(TARG_EX_ovld3_rcins_MT_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_D_W) + @-if exist $(TARG_EX_pad_hash_MT_D_W) $(RM) $(TARG_EX_pad_hash_MT_D_W) + @-if exist $(OBJ_EX_pad_hash_MT_D_W) $(RM) $(OBJ_EX_pad_hash_MT_D_W) + @-if exist $(TARG_EX_sink_cstr_MT_D_W) $(RM) $(TARG_EX_sink_cstr_MT_D_W) + @-if exist $(OBJ_EX_sink_cstr_MT_D_W) $(RM) $(OBJ_EX_sink_cstr_MT_D_W) + @-if exist $(TARG_EX_sink_ODS_MT_D_W) $(RM) $(TARG_EX_sink_ODS_MT_D_W) + @-if exist $(OBJ_EX_sink_ODS_MT_D_W) $(RM) $(OBJ_EX_sink_ODS_MT_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D) $(RM) $(TARG_EX_boolean_DLL_D) + @-if exist $(OBJ_EX_boolean_DLL_D) $(RM) $(OBJ_EX_boolean_DLL_D) + @-if exist $(TARG_EX_character_DLL_D) $(RM) $(TARG_EX_character_DLL_D) + @-if exist $(OBJ_EX_character_DLL_D) $(RM) $(OBJ_EX_character_DLL_D) + @-if exist $(TARG_EX_reals_DLL_D) $(RM) $(TARG_EX_reals_DLL_D) + @-if exist $(OBJ_EX_reals_DLL_D) $(RM) $(OBJ_EX_reals_DLL_D) + @-if exist $(TARG_EX_voidptrs_DLL_D) $(RM) $(TARG_EX_voidptrs_DLL_D) + @-if exist $(OBJ_EX_voidptrs_DLL_D) $(RM) $(OBJ_EX_voidptrs_DLL_D) + @-if exist $(TARG_EX_ff_101_DLL_D) $(RM) $(TARG_EX_ff_101_DLL_D) + @-if exist $(OBJ_EX_ff_101_DLL_D) $(RM) $(OBJ_EX_ff_101_DLL_D) + @-if exist $(TARG_EX_ff_101_nons_DLL_D) $(RM) $(TARG_EX_ff_101_nons_DLL_D) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D) $(RM) $(OBJ_EX_ff_101_nons_DLL_D) + @-if exist $(TARG_EX_flush_DLL_D) $(RM) $(TARG_EX_flush_DLL_D) + @-if exist $(OBJ_EX_flush_DLL_D) $(RM) $(OBJ_EX_flush_DLL_D) + @-if exist $(TARG_EX_ins_hex_DLL_D) $(RM) $(TARG_EX_ins_hex_DLL_D) + @-if exist $(OBJ_EX_ins_hex_DLL_D) $(RM) $(OBJ_EX_ins_hex_DLL_D) + @-if exist $(TARG_EX_ins_integers_DLL_D) $(RM) $(TARG_EX_ins_integers_DLL_D) + @-if exist $(OBJ_EX_ins_integers_DLL_D) $(RM) $(OBJ_EX_ins_integers_DLL_D) + @-if exist $(TARG_EX_ins_WLIs_DLL_D) $(RM) $(TARG_EX_ins_WLIs_DLL_D) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D) $(RM) $(OBJ_EX_ins_WLIs_DLL_D) + @-if exist $(TARG_EX_ins_reals_DLL_D) $(RM) $(TARG_EX_ins_reals_DLL_D) + @-if exist $(OBJ_EX_ins_reals_DLL_D) $(RM) $(OBJ_EX_ins_reals_DLL_D) + @-if exist $(TARG_EX_itr_fmt_DLL_D) $(RM) $(TARG_EX_itr_fmt_DLL_D) + @-if exist $(OBJ_EX_itr_fmt_DLL_D) $(RM) $(OBJ_EX_itr_fmt_DLL_D) + @-if exist $(TARG_EX_ovld2_filt_DLL_D) $(RM) $(TARG_EX_ovld2_filt_DLL_D) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D) $(RM) $(OBJ_EX_ovld2_filt_DLL_D) + @-if exist $(TARG_EX_ovld2_ins_DLL_D) $(RM) $(TARG_EX_ovld2_ins_DLL_D) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D) $(RM) $(OBJ_EX_ovld2_ins_DLL_D) + @-if exist $(TARG_EX_ovld2_sas_DLL_D) $(RM) $(TARG_EX_ovld2_sas_DLL_D) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D) $(RM) $(OBJ_EX_ovld2_sas_DLL_D) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D) $(RM) $(TARG_EX_ovld3_tabs_DLL_D) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D) $(RM) $(TARG_EX_ovld3_rcins_DLL_D) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D) + @-if exist $(TARG_EX_pad_hash_DLL_D) $(RM) $(TARG_EX_pad_hash_DLL_D) + @-if exist $(OBJ_EX_pad_hash_DLL_D) $(RM) $(OBJ_EX_pad_hash_DLL_D) + @-if exist $(TARG_EX_sink_cstr_DLL_D) $(RM) $(TARG_EX_sink_cstr_DLL_D) + @-if exist $(OBJ_EX_sink_cstr_DLL_D) $(RM) $(OBJ_EX_sink_cstr_DLL_D) + @-if exist $(TARG_EX_sink_ODS_DLL_D) $(RM) $(TARG_EX_sink_ODS_DLL_D) + @-if exist $(OBJ_EX_sink_ODS_DLL_D) $(RM) $(OBJ_EX_sink_ODS_DLL_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D_W) $(RM) $(TARG_EX_boolean_DLL_D_W) + @-if exist $(OBJ_EX_boolean_DLL_D_W) $(RM) $(OBJ_EX_boolean_DLL_D_W) + @-if exist $(TARG_EX_character_DLL_D_W) $(RM) $(TARG_EX_character_DLL_D_W) + @-if exist $(OBJ_EX_character_DLL_D_W) $(RM) $(OBJ_EX_character_DLL_D_W) + @-if exist $(TARG_EX_reals_DLL_D_W) $(RM) $(TARG_EX_reals_DLL_D_W) + @-if exist $(OBJ_EX_reals_DLL_D_W) $(RM) $(OBJ_EX_reals_DLL_D_W) + @-if exist $(TARG_EX_voidptrs_DLL_D_W) $(RM) $(TARG_EX_voidptrs_DLL_D_W) + @-if exist $(OBJ_EX_voidptrs_DLL_D_W) $(RM) $(OBJ_EX_voidptrs_DLL_D_W) + @-if exist $(TARG_EX_ff_101_DLL_D_W) $(RM) $(TARG_EX_ff_101_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_DLL_D_W) $(RM) $(OBJ_EX_ff_101_DLL_D_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_D_W) $(RM) $(TARG_EX_ff_101_nons_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_D_W) + @-if exist $(TARG_EX_flush_DLL_D_W) $(RM) $(TARG_EX_flush_DLL_D_W) + @-if exist $(OBJ_EX_flush_DLL_D_W) $(RM) $(OBJ_EX_flush_DLL_D_W) + @-if exist $(TARG_EX_ins_hex_DLL_D_W) $(RM) $(TARG_EX_ins_hex_DLL_D_W) + @-if exist $(OBJ_EX_ins_hex_DLL_D_W) $(RM) $(OBJ_EX_ins_hex_DLL_D_W) + @-if exist $(TARG_EX_ins_integers_DLL_D_W) $(RM) $(TARG_EX_ins_integers_DLL_D_W) + @-if exist $(OBJ_EX_ins_integers_DLL_D_W) $(RM) $(OBJ_EX_ins_integers_DLL_D_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_D_W) $(RM) $(TARG_EX_ins_WLIs_DLL_D_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_D_W) + @-if exist $(TARG_EX_ins_reals_DLL_D_W) $(RM) $(TARG_EX_ins_reals_DLL_D_W) + @-if exist $(OBJ_EX_ins_reals_DLL_D_W) $(RM) $(OBJ_EX_ins_reals_DLL_D_W) + @-if exist $(TARG_EX_itr_fmt_DLL_D_W) $(RM) $(TARG_EX_itr_fmt_DLL_D_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_D_W) $(RM) $(OBJ_EX_itr_fmt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_D_W) $(RM) $(TARG_EX_ovld2_filt_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_D_W) $(RM) $(TARG_EX_ovld2_ins_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_D_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_D_W) $(RM) $(TARG_EX_ovld2_sas_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D_W) + @-if exist $(TARG_EX_pad_hash_DLL_D_W) $(RM) $(TARG_EX_pad_hash_DLL_D_W) + @-if exist $(OBJ_EX_pad_hash_DLL_D_W) $(RM) $(OBJ_EX_pad_hash_DLL_D_W) + @-if exist $(TARG_EX_sink_cstr_DLL_D_W) $(RM) $(TARG_EX_sink_cstr_DLL_D_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_D_W) $(RM) $(OBJ_EX_sink_cstr_DLL_D_W) + @-if exist $(TARG_EX_sink_ODS_DLL_D_W) $(RM) $(TARG_EX_sink_ODS_DLL_D_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_D_W) $(RM) $(OBJ_EX_sink_ODS_DLL_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R) $(RM) $(TARG_EX_boolean_DLL_R) + @-if exist $(OBJ_EX_boolean_DLL_R) $(RM) $(OBJ_EX_boolean_DLL_R) + @-if exist $(TARG_EX_character_DLL_R) $(RM) $(TARG_EX_character_DLL_R) + @-if exist $(OBJ_EX_character_DLL_R) $(RM) $(OBJ_EX_character_DLL_R) + @-if exist $(TARG_EX_reals_DLL_R) $(RM) $(TARG_EX_reals_DLL_R) + @-if exist $(OBJ_EX_reals_DLL_R) $(RM) $(OBJ_EX_reals_DLL_R) + @-if exist $(TARG_EX_voidptrs_DLL_R) $(RM) $(TARG_EX_voidptrs_DLL_R) + @-if exist $(OBJ_EX_voidptrs_DLL_R) $(RM) $(OBJ_EX_voidptrs_DLL_R) + @-if exist $(TARG_EX_ff_101_DLL_R) $(RM) $(TARG_EX_ff_101_DLL_R) + @-if exist $(OBJ_EX_ff_101_DLL_R) $(RM) $(OBJ_EX_ff_101_DLL_R) + @-if exist $(TARG_EX_ff_101_nons_DLL_R) $(RM) $(TARG_EX_ff_101_nons_DLL_R) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R) $(RM) $(OBJ_EX_ff_101_nons_DLL_R) + @-if exist $(TARG_EX_flush_DLL_R) $(RM) $(TARG_EX_flush_DLL_R) + @-if exist $(OBJ_EX_flush_DLL_R) $(RM) $(OBJ_EX_flush_DLL_R) + @-if exist $(TARG_EX_ins_hex_DLL_R) $(RM) $(TARG_EX_ins_hex_DLL_R) + @-if exist $(OBJ_EX_ins_hex_DLL_R) $(RM) $(OBJ_EX_ins_hex_DLL_R) + @-if exist $(TARG_EX_ins_integers_DLL_R) $(RM) $(TARG_EX_ins_integers_DLL_R) + @-if exist $(OBJ_EX_ins_integers_DLL_R) $(RM) $(OBJ_EX_ins_integers_DLL_R) + @-if exist $(TARG_EX_ins_WLIs_DLL_R) $(RM) $(TARG_EX_ins_WLIs_DLL_R) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R) $(RM) $(OBJ_EX_ins_WLIs_DLL_R) + @-if exist $(TARG_EX_ins_reals_DLL_R) $(RM) $(TARG_EX_ins_reals_DLL_R) + @-if exist $(OBJ_EX_ins_reals_DLL_R) $(RM) $(OBJ_EX_ins_reals_DLL_R) + @-if exist $(TARG_EX_itr_fmt_DLL_R) $(RM) $(TARG_EX_itr_fmt_DLL_R) + @-if exist $(OBJ_EX_itr_fmt_DLL_R) $(RM) $(OBJ_EX_itr_fmt_DLL_R) + @-if exist $(TARG_EX_ovld2_filt_DLL_R) $(RM) $(TARG_EX_ovld2_filt_DLL_R) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R) $(RM) $(OBJ_EX_ovld2_filt_DLL_R) + @-if exist $(TARG_EX_ovld2_ins_DLL_R) $(RM) $(TARG_EX_ovld2_ins_DLL_R) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R) $(RM) $(OBJ_EX_ovld2_ins_DLL_R) + @-if exist $(TARG_EX_ovld2_sas_DLL_R) $(RM) $(TARG_EX_ovld2_sas_DLL_R) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R) $(RM) $(OBJ_EX_ovld2_sas_DLL_R) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R) $(RM) $(TARG_EX_ovld3_tabs_DLL_R) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R) $(RM) $(TARG_EX_ovld3_rcins_DLL_R) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R) + @-if exist $(TARG_EX_pad_hash_DLL_R) $(RM) $(TARG_EX_pad_hash_DLL_R) + @-if exist $(OBJ_EX_pad_hash_DLL_R) $(RM) $(OBJ_EX_pad_hash_DLL_R) + @-if exist $(TARG_EX_sink_cstr_DLL_R) $(RM) $(TARG_EX_sink_cstr_DLL_R) + @-if exist $(OBJ_EX_sink_cstr_DLL_R) $(RM) $(OBJ_EX_sink_cstr_DLL_R) + @-if exist $(TARG_EX_sink_ODS_DLL_R) $(RM) $(TARG_EX_sink_ODS_DLL_R) + @-if exist $(OBJ_EX_sink_ODS_DLL_R) $(RM) $(OBJ_EX_sink_ODS_DLL_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R_W) $(RM) $(TARG_EX_boolean_DLL_R_W) + @-if exist $(OBJ_EX_boolean_DLL_R_W) $(RM) $(OBJ_EX_boolean_DLL_R_W) + @-if exist $(TARG_EX_character_DLL_R_W) $(RM) $(TARG_EX_character_DLL_R_W) + @-if exist $(OBJ_EX_character_DLL_R_W) $(RM) $(OBJ_EX_character_DLL_R_W) + @-if exist $(TARG_EX_reals_DLL_R_W) $(RM) $(TARG_EX_reals_DLL_R_W) + @-if exist $(OBJ_EX_reals_DLL_R_W) $(RM) $(OBJ_EX_reals_DLL_R_W) + @-if exist $(TARG_EX_voidptrs_DLL_R_W) $(RM) $(TARG_EX_voidptrs_DLL_R_W) + @-if exist $(OBJ_EX_voidptrs_DLL_R_W) $(RM) $(OBJ_EX_voidptrs_DLL_R_W) + @-if exist $(TARG_EX_ff_101_DLL_R_W) $(RM) $(TARG_EX_ff_101_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_DLL_R_W) $(RM) $(OBJ_EX_ff_101_DLL_R_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_R_W) $(RM) $(TARG_EX_ff_101_nons_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_R_W) + @-if exist $(TARG_EX_flush_DLL_R_W) $(RM) $(TARG_EX_flush_DLL_R_W) + @-if exist $(OBJ_EX_flush_DLL_R_W) $(RM) $(OBJ_EX_flush_DLL_R_W) + @-if exist $(TARG_EX_ins_hex_DLL_R_W) $(RM) $(TARG_EX_ins_hex_DLL_R_W) + @-if exist $(OBJ_EX_ins_hex_DLL_R_W) $(RM) $(OBJ_EX_ins_hex_DLL_R_W) + @-if exist $(TARG_EX_ins_integers_DLL_R_W) $(RM) $(TARG_EX_ins_integers_DLL_R_W) + @-if exist $(OBJ_EX_ins_integers_DLL_R_W) $(RM) $(OBJ_EX_ins_integers_DLL_R_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_R_W) $(RM) $(TARG_EX_ins_WLIs_DLL_R_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_R_W) + @-if exist $(TARG_EX_ins_reals_DLL_R_W) $(RM) $(TARG_EX_ins_reals_DLL_R_W) + @-if exist $(OBJ_EX_ins_reals_DLL_R_W) $(RM) $(OBJ_EX_ins_reals_DLL_R_W) + @-if exist $(TARG_EX_itr_fmt_DLL_R_W) $(RM) $(TARG_EX_itr_fmt_DLL_R_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_R_W) $(RM) $(OBJ_EX_itr_fmt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_R_W) $(RM) $(TARG_EX_ovld2_filt_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_R_W) $(RM) $(TARG_EX_ovld2_ins_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_R_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_R_W) $(RM) $(TARG_EX_ovld2_sas_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R_W) + @-if exist $(TARG_EX_pad_hash_DLL_R_W) $(RM) $(TARG_EX_pad_hash_DLL_R_W) + @-if exist $(OBJ_EX_pad_hash_DLL_R_W) $(RM) $(OBJ_EX_pad_hash_DLL_R_W) + @-if exist $(TARG_EX_sink_cstr_DLL_R_W) $(RM) $(TARG_EX_sink_cstr_DLL_R_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_R_W) $(RM) $(OBJ_EX_sink_cstr_DLL_R_W) + @-if exist $(TARG_EX_sink_ODS_DLL_R_W) $(RM) $(TARG_EX_sink_ODS_DLL_R_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_R_W) $(RM) $(OBJ_EX_sink_ODS_DLL_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R) $(RM) $(TARG_EX_boolean_MT_R) + @-if exist $(OBJ_EX_boolean_MT_R) $(RM) $(OBJ_EX_boolean_MT_R) + @-if exist $(TARG_EX_character_MT_R) $(RM) $(TARG_EX_character_MT_R) + @-if exist $(OBJ_EX_character_MT_R) $(RM) $(OBJ_EX_character_MT_R) + @-if exist $(TARG_EX_reals_MT_R) $(RM) $(TARG_EX_reals_MT_R) + @-if exist $(OBJ_EX_reals_MT_R) $(RM) $(OBJ_EX_reals_MT_R) + @-if exist $(TARG_EX_voidptrs_MT_R) $(RM) $(TARG_EX_voidptrs_MT_R) + @-if exist $(OBJ_EX_voidptrs_MT_R) $(RM) $(OBJ_EX_voidptrs_MT_R) + @-if exist $(TARG_EX_ff_101_MT_R) $(RM) $(TARG_EX_ff_101_MT_R) + @-if exist $(OBJ_EX_ff_101_MT_R) $(RM) $(OBJ_EX_ff_101_MT_R) + @-if exist $(TARG_EX_ff_101_nons_MT_R) $(RM) $(TARG_EX_ff_101_nons_MT_R) + @-if exist $(OBJ_EX_ff_101_nons_MT_R) $(RM) $(OBJ_EX_ff_101_nons_MT_R) + @-if exist $(TARG_EX_flush_MT_R) $(RM) $(TARG_EX_flush_MT_R) + @-if exist $(OBJ_EX_flush_MT_R) $(RM) $(OBJ_EX_flush_MT_R) + @-if exist $(TARG_EX_ins_hex_MT_R) $(RM) $(TARG_EX_ins_hex_MT_R) + @-if exist $(OBJ_EX_ins_hex_MT_R) $(RM) $(OBJ_EX_ins_hex_MT_R) + @-if exist $(TARG_EX_ins_integers_MT_R) $(RM) $(TARG_EX_ins_integers_MT_R) + @-if exist $(OBJ_EX_ins_integers_MT_R) $(RM) $(OBJ_EX_ins_integers_MT_R) + @-if exist $(TARG_EX_ins_WLIs_MT_R) $(RM) $(TARG_EX_ins_WLIs_MT_R) + @-if exist $(OBJ_EX_ins_WLIs_MT_R) $(RM) $(OBJ_EX_ins_WLIs_MT_R) + @-if exist $(TARG_EX_ins_reals_MT_R) $(RM) $(TARG_EX_ins_reals_MT_R) + @-if exist $(OBJ_EX_ins_reals_MT_R) $(RM) $(OBJ_EX_ins_reals_MT_R) + @-if exist $(TARG_EX_itr_fmt_MT_R) $(RM) $(TARG_EX_itr_fmt_MT_R) + @-if exist $(OBJ_EX_itr_fmt_MT_R) $(RM) $(OBJ_EX_itr_fmt_MT_R) + @-if exist $(TARG_EX_ovld2_filt_MT_R) $(RM) $(TARG_EX_ovld2_filt_MT_R) + @-if exist $(OBJ_EX_ovld2_filt_MT_R) $(RM) $(OBJ_EX_ovld2_filt_MT_R) + @-if exist $(TARG_EX_ovld2_ins_MT_R) $(RM) $(TARG_EX_ovld2_ins_MT_R) + @-if exist $(OBJ_EX_ovld2_ins_MT_R) $(RM) $(OBJ_EX_ovld2_ins_MT_R) + @-if exist $(TARG_EX_ovld2_sas_MT_R) $(RM) $(TARG_EX_ovld2_sas_MT_R) + @-if exist $(OBJ_EX_ovld2_sas_MT_R) $(RM) $(OBJ_EX_ovld2_sas_MT_R) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R) $(RM) $(TARG_EX_ovld3_fpcol_MT_R) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R) + @-if exist $(TARG_EX_ovld3_tabs_MT_R) $(RM) $(TARG_EX_ovld3_tabs_MT_R) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R) $(RM) $(OBJ_EX_ovld3_tabs_MT_R) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R) $(RM) $(TARG_EX_ovld3_svrlg_MT_R) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R) + @-if exist $(TARG_EX_ovld3_rcins_MT_R) $(RM) $(TARG_EX_ovld3_rcins_MT_R) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R) $(RM) $(OBJ_EX_ovld3_rcins_MT_R) + @-if exist $(TARG_EX_pad_hash_MT_R) $(RM) $(TARG_EX_pad_hash_MT_R) + @-if exist $(OBJ_EX_pad_hash_MT_R) $(RM) $(OBJ_EX_pad_hash_MT_R) + @-if exist $(TARG_EX_sink_cstr_MT_R) $(RM) $(TARG_EX_sink_cstr_MT_R) + @-if exist $(OBJ_EX_sink_cstr_MT_R) $(RM) $(OBJ_EX_sink_cstr_MT_R) + @-if exist $(TARG_EX_sink_ODS_MT_R) $(RM) $(TARG_EX_sink_ODS_MT_R) + @-if exist $(OBJ_EX_sink_ODS_MT_R) $(RM) $(OBJ_EX_sink_ODS_MT_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R_W) $(RM) $(TARG_EX_boolean_MT_R_W) + @-if exist $(OBJ_EX_boolean_MT_R_W) $(RM) $(OBJ_EX_boolean_MT_R_W) + @-if exist $(TARG_EX_character_MT_R_W) $(RM) $(TARG_EX_character_MT_R_W) + @-if exist $(OBJ_EX_character_MT_R_W) $(RM) $(OBJ_EX_character_MT_R_W) + @-if exist $(TARG_EX_reals_MT_R_W) $(RM) $(TARG_EX_reals_MT_R_W) + @-if exist $(OBJ_EX_reals_MT_R_W) $(RM) $(OBJ_EX_reals_MT_R_W) + @-if exist $(TARG_EX_voidptrs_MT_R_W) $(RM) $(TARG_EX_voidptrs_MT_R_W) + @-if exist $(OBJ_EX_voidptrs_MT_R_W) $(RM) $(OBJ_EX_voidptrs_MT_R_W) + @-if exist $(TARG_EX_ff_101_MT_R_W) $(RM) $(TARG_EX_ff_101_MT_R_W) + @-if exist $(OBJ_EX_ff_101_MT_R_W) $(RM) $(OBJ_EX_ff_101_MT_R_W) + @-if exist $(TARG_EX_ff_101_nons_MT_R_W) $(RM) $(TARG_EX_ff_101_nons_MT_R_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_R_W) $(RM) $(OBJ_EX_ff_101_nons_MT_R_W) + @-if exist $(TARG_EX_flush_MT_R_W) $(RM) $(TARG_EX_flush_MT_R_W) + @-if exist $(OBJ_EX_flush_MT_R_W) $(RM) $(OBJ_EX_flush_MT_R_W) + @-if exist $(TARG_EX_ins_hex_MT_R_W) $(RM) $(TARG_EX_ins_hex_MT_R_W) + @-if exist $(OBJ_EX_ins_hex_MT_R_W) $(RM) $(OBJ_EX_ins_hex_MT_R_W) + @-if exist $(TARG_EX_ins_integers_MT_R_W) $(RM) $(TARG_EX_ins_integers_MT_R_W) + @-if exist $(OBJ_EX_ins_integers_MT_R_W) $(RM) $(OBJ_EX_ins_integers_MT_R_W) + @-if exist $(TARG_EX_ins_WLIs_MT_R_W) $(RM) $(TARG_EX_ins_WLIs_MT_R_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_R_W) $(RM) $(OBJ_EX_ins_WLIs_MT_R_W) + @-if exist $(TARG_EX_ins_reals_MT_R_W) $(RM) $(TARG_EX_ins_reals_MT_R_W) + @-if exist $(OBJ_EX_ins_reals_MT_R_W) $(RM) $(OBJ_EX_ins_reals_MT_R_W) + @-if exist $(TARG_EX_itr_fmt_MT_R_W) $(RM) $(TARG_EX_itr_fmt_MT_R_W) + @-if exist $(OBJ_EX_itr_fmt_MT_R_W) $(RM) $(OBJ_EX_itr_fmt_MT_R_W) + @-if exist $(TARG_EX_ovld2_filt_MT_R_W) $(RM) $(TARG_EX_ovld2_filt_MT_R_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_R_W) $(RM) $(OBJ_EX_ovld2_filt_MT_R_W) + @-if exist $(TARG_EX_ovld2_ins_MT_R_W) $(RM) $(TARG_EX_ovld2_ins_MT_R_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_R_W) $(RM) $(OBJ_EX_ovld2_ins_MT_R_W) + @-if exist $(TARG_EX_ovld2_sas_MT_R_W) $(RM) $(TARG_EX_ovld2_sas_MT_R_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_R_W) $(RM) $(OBJ_EX_ovld2_sas_MT_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_R_W) $(RM) $(TARG_EX_ovld3_tabs_MT_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_R_W) $(RM) $(TARG_EX_ovld3_rcins_MT_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_R_W) + @-if exist $(TARG_EX_pad_hash_MT_R_W) $(RM) $(TARG_EX_pad_hash_MT_R_W) + @-if exist $(OBJ_EX_pad_hash_MT_R_W) $(RM) $(OBJ_EX_pad_hash_MT_R_W) + @-if exist $(TARG_EX_sink_cstr_MT_R_W) $(RM) $(TARG_EX_sink_cstr_MT_R_W) + @-if exist $(OBJ_EX_sink_cstr_MT_R_W) $(RM) $(OBJ_EX_sink_cstr_MT_R_W) + @-if exist $(TARG_EX_sink_ODS_MT_R_W) $(RM) $(TARG_EX_sink_ODS_MT_R_W) + @-if exist $(OBJ_EX_sink_ODS_MT_R_W) $(RM) $(OBJ_EX_sink_ODS_MT_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + +clean.samples: + @echo cleaning Sample programs ... + +clean.test.component: + @echo cleaning Test::Component files ... + @-if exist $(TARG_TEST_COMP_file_MT_D) $(RM) $(TARG_TEST_COMP_file_MT_D) + @-if exist $(OBJ_TEST_COMP_file_MT_D) $(RM) $(OBJ_TEST_COMP_file_MT_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D) $(RM) $(TARG_TEST_COMP_ins_int_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D) $(RM) $(TARG_TEST_COMP_null_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D) $(RM) $(TARG_TEST_COMP_write_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D) + @-if exist $(TARG_TEST_COMP_x_1_MT_D) $(RM) $(TARG_TEST_COMP_x_1_MT_D) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D) $(RM) $(OBJ_TEST_COMP_x_1_MT_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_D_W) $(RM) $(TARG_TEST_COMP_file_MT_D_W) + @-if exist $(OBJ_TEST_COMP_file_MT_D_W) $(RM) $(OBJ_TEST_COMP_file_MT_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_D_W) $(RM) $(TARG_TEST_COMP_x_1_MT_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D) $(RM) $(TARG_TEST_COMP_file_DLL_D) + @-if exist $(OBJ_TEST_COMP_file_DLL_D) $(RM) $(OBJ_TEST_COMP_file_DLL_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D) $(RM) $(TARG_TEST_COMP_x_1_DLL_D) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D_W) $(RM) $(TARG_TEST_COMP_file_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_D_W) $(RM) $(OBJ_TEST_COMP_file_DLL_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R) $(RM) $(TARG_TEST_COMP_file_DLL_R) + @-if exist $(OBJ_TEST_COMP_file_DLL_R) $(RM) $(OBJ_TEST_COMP_file_DLL_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R) $(RM) $(TARG_TEST_COMP_x_1_DLL_R) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R_W) $(RM) $(TARG_TEST_COMP_file_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_R_W) $(RM) $(OBJ_TEST_COMP_file_DLL_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R) $(RM) $(TARG_TEST_COMP_file_MT_R) + @-if exist $(OBJ_TEST_COMP_file_MT_R) $(RM) $(OBJ_TEST_COMP_file_MT_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R) $(RM) $(TARG_TEST_COMP_ins_int_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R) $(RM) $(TARG_TEST_COMP_null_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R) $(RM) $(TARG_TEST_COMP_write_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R) + @-if exist $(TARG_TEST_COMP_x_1_MT_R) $(RM) $(TARG_TEST_COMP_x_1_MT_R) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R) $(RM) $(OBJ_TEST_COMP_x_1_MT_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R_W) $(RM) $(TARG_TEST_COMP_file_MT_R_W) + @-if exist $(OBJ_TEST_COMP_file_MT_R_W) $(RM) $(OBJ_TEST_COMP_file_MT_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_R_W) $(RM) $(TARG_TEST_COMP_x_1_MT_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + +clean.test.performance: + @echo cleaning Test::Performance files ... + @-if exist $(TARG_TEST_PERF_scen1_MT_D) $(RM) $(TARG_TEST_PERF_scen1_MT_D) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D) $(RM) $(OBJ_TEST_PERF_scen1_MT_D) + @-if exist $(TARG_TEST_PERF_scen2_MT_D) $(RM) $(TARG_TEST_PERF_scen2_MT_D) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D) $(RM) $(OBJ_TEST_PERF_scen2_MT_D) + @-if exist $(TARG_TEST_PERF_scen3_MT_D) $(RM) $(TARG_TEST_PERF_scen3_MT_D) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D) $(RM) $(OBJ_TEST_PERF_scen3_MT_D) + @-if exist $(TARG_TEST_PERF_scen4_MT_D) $(RM) $(TARG_TEST_PERF_scen4_MT_D) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D) $(RM) $(OBJ_TEST_PERF_scen4_MT_D) + @-if exist $(TARG_TEST_PERF_scen5_MT_D) $(RM) $(TARG_TEST_PERF_scen5_MT_D) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D) $(RM) $(OBJ_TEST_PERF_scen5_MT_D) + @-if exist $(TARG_TEST_PERF_scen6_MT_D) $(RM) $(TARG_TEST_PERF_scen6_MT_D) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D) $(RM) $(OBJ_TEST_PERF_scen6_MT_D) + @-if exist $(TARG_TEST_PERF_scen7_MT_D) $(RM) $(TARG_TEST_PERF_scen7_MT_D) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D) $(RM) $(OBJ_TEST_PERF_scen7_MT_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D) $(RM) $(TARG_TEST_PERF_scen8_MT_D) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D) $(RM) $(OBJ_TEST_PERF_scen8_MT_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_D_W) $(RM) $(TARG_TEST_PERF_scen1_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_D_W) $(RM) $(TARG_TEST_PERF_scen2_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_D_W) $(RM) $(TARG_TEST_PERF_scen3_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_D_W) $(RM) $(TARG_TEST_PERF_scen4_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_D_W) $(RM) $(TARG_TEST_PERF_scen5_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_D_W) $(RM) $(TARG_TEST_PERF_scen6_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_D_W) $(RM) $(TARG_TEST_PERF_scen7_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D_W) $(RM) $(TARG_TEST_PERF_scen8_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D) $(RM) $(TARG_TEST_PERF_scen1_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D) $(RM) $(TARG_TEST_PERF_scen2_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D) $(RM) $(TARG_TEST_PERF_scen3_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D) $(RM) $(TARG_TEST_PERF_scen4_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D) $(RM) $(TARG_TEST_PERF_scen5_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D) $(RM) $(TARG_TEST_PERF_scen6_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D) $(RM) $(TARG_TEST_PERF_scen7_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D) $(RM) $(TARG_TEST_PERF_scen8_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R) $(RM) $(TARG_TEST_PERF_scen1_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R) $(RM) $(TARG_TEST_PERF_scen2_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R) $(RM) $(TARG_TEST_PERF_scen3_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R) $(RM) $(TARG_TEST_PERF_scen4_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R) $(RM) $(TARG_TEST_PERF_scen5_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R) $(RM) $(TARG_TEST_PERF_scen6_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R) $(RM) $(TARG_TEST_PERF_scen7_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R) $(RM) $(TARG_TEST_PERF_scen8_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R) $(RM) $(TARG_TEST_PERF_scen1_MT_R) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R) $(RM) $(OBJ_TEST_PERF_scen1_MT_R) + @-if exist $(TARG_TEST_PERF_scen2_MT_R) $(RM) $(TARG_TEST_PERF_scen2_MT_R) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R) $(RM) $(OBJ_TEST_PERF_scen2_MT_R) + @-if exist $(TARG_TEST_PERF_scen3_MT_R) $(RM) $(TARG_TEST_PERF_scen3_MT_R) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R) $(RM) $(OBJ_TEST_PERF_scen3_MT_R) + @-if exist $(TARG_TEST_PERF_scen4_MT_R) $(RM) $(TARG_TEST_PERF_scen4_MT_R) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R) $(RM) $(OBJ_TEST_PERF_scen4_MT_R) + @-if exist $(TARG_TEST_PERF_scen5_MT_R) $(RM) $(TARG_TEST_PERF_scen5_MT_R) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R) $(RM) $(OBJ_TEST_PERF_scen5_MT_R) + @-if exist $(TARG_TEST_PERF_scen6_MT_R) $(RM) $(TARG_TEST_PERF_scen6_MT_R) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R) $(RM) $(OBJ_TEST_PERF_scen6_MT_R) + @-if exist $(TARG_TEST_PERF_scen7_MT_R) $(RM) $(TARG_TEST_PERF_scen7_MT_R) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R) $(RM) $(OBJ_TEST_PERF_scen7_MT_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R) $(RM) $(TARG_TEST_PERF_scen8_MT_R) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R) $(RM) $(OBJ_TEST_PERF_scen8_MT_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R_W) $(RM) $(TARG_TEST_PERF_scen1_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_R_W) $(RM) $(TARG_TEST_PERF_scen2_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_R_W) $(RM) $(TARG_TEST_PERF_scen3_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_R_W) $(RM) $(TARG_TEST_PERF_scen4_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_R_W) $(RM) $(TARG_TEST_PERF_scen5_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_R_W) $(RM) $(TARG_TEST_PERF_scen6_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_R_W) $(RM) $(TARG_TEST_PERF_scen7_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R_W) $(RM) $(TARG_TEST_PERF_scen8_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + +clean.test.scratch: + @echo cleaning Test::Scratch files ... + @-if exist $(TARG_TEST_SCRT_file_MT_D) $(RM) $(TARG_TEST_SCRT_file_MT_D) + @-if exist $(OBJ_TEST_SCRT_file_MT_D) $(RM) $(OBJ_TEST_SCRT_file_MT_D) + @-if exist $(PDB_TEST_SCRT_file_MT_D) $(RM) $(PDB_TEST_SCRT_file_MT_D) + @-if exist $(TARG_TEST_SCRT_speech_MT_D) $(RM) $(TARG_TEST_SCRT_speech_MT_D) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D) $(RM) $(OBJ_TEST_SCRT_speech_MT_D) + @-if exist $(PDB_TEST_SCRT_speech_MT_D) $(RM) $(PDB_TEST_SCRT_speech_MT_D) + @-if exist $(TARG_TEST_SCRT_file_MT_D_W) $(RM) $(TARG_TEST_SCRT_file_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_D_W) $(RM) $(OBJ_TEST_SCRT_file_MT_D_W) + @-if exist $(PDB_TEST_SCRT_file_MT_D_W) $(RM) $(PDB_TEST_SCRT_file_MT_D_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_D_W) $(RM) $(TARG_TEST_SCRT_speech_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_D_W) + @-if exist $(PDB_TEST_SCRT_speech_MT_D_W) $(RM) $(PDB_TEST_SCRT_speech_MT_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_D) $(RM) $(TARG_TEST_SCRT_file_DLL_D) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D) $(RM) $(OBJ_TEST_SCRT_file_DLL_D) + @-if exist $(PDB_TEST_SCRT_file_DLL_D) $(RM) $(PDB_TEST_SCRT_file_DLL_D) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D) $(RM) $(TARG_TEST_SCRT_speech_DLL_D) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D) $(RM) $(PDB_TEST_SCRT_speech_DLL_D) + @-if exist $(TARG_TEST_SCRT_file_DLL_D_W) $(RM) $(TARG_TEST_SCRT_file_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_file_DLL_D_W) $(RM) $(PDB_TEST_SCRT_file_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D_W) $(RM) $(PDB_TEST_SCRT_speech_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_R) $(RM) $(TARG_TEST_SCRT_file_DLL_R) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R) $(RM) $(OBJ_TEST_SCRT_file_DLL_R) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R) $(RM) $(TARG_TEST_SCRT_speech_DLL_R) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R) + @-if exist $(TARG_TEST_SCRT_file_DLL_R_W) $(RM) $(TARG_TEST_SCRT_file_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_file_MT_R) $(RM) $(TARG_TEST_SCRT_file_MT_R) + @-if exist $(OBJ_TEST_SCRT_file_MT_R) $(RM) $(OBJ_TEST_SCRT_file_MT_R) + @-if exist $(TARG_TEST_SCRT_speech_MT_R) $(RM) $(TARG_TEST_SCRT_speech_MT_R) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R) $(RM) $(OBJ_TEST_SCRT_speech_MT_R) + @-if exist $(TARG_TEST_SCRT_file_MT_R_W) $(RM) $(TARG_TEST_SCRT_file_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_R_W) $(RM) $(OBJ_TEST_SCRT_file_MT_R_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_R_W) $(RM) $(TARG_TEST_SCRT_speech_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_R_W) + +clean.test.unit: + @echo cleaning Test::Unit files ... + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D) $(RM) $(TARG_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R) $(RM) $(TARG_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + +clean.test: clean.test.unit clean.test.component clean.test.scratch clean.test.performance + +clean: clean.libs clean.bitbucket clean.examples clean.samples clean.test + +clean.all: clean + + +############################################################################ +# build targets + +################################################## +# Libraries + +######################################## +# Main library - 'fastformat.X.core.a' + +$(LIB_CORE_MT_D): $(OBJ_CORE_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D) + +$(OBJ_cr_api_MT_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_D_W): $(OBJ_CORE_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D_W) + +$(OBJ_cr_api_MT_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D): $(OBJ_CORE_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D) + +$(OBJ_cr_api_DLL_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D_W): $(OBJ_CORE_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D_W) + +$(OBJ_cr_api_DLL_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R): $(OBJ_CORE_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R) + +$(OBJ_cr_api_DLL_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R_W): $(OBJ_CORE_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R_W) + +$(OBJ_cr_api_DLL_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R): $(OBJ_CORE_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R) + +$(OBJ_cr_api_MT_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R_W): $(OBJ_CORE_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R_W) + +$(OBJ_cr_api_MT_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +######################################## +# Loki.SafeFormat library - 'loki.safefmt.X.core.a' + +!ifdef LOKI + +$(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp: $(PROJ_MAKEFILE_NAME) + @-if not exist $(LOKI_SFMT_SRC_DIR)\NUL mkdir $(LOKI_SFMT_SRC_DIR) + echo #include "../src/SafeFormat.cpp" > $@ + +$(LIB_LOKI_SFMT_MT_D): $(OBJ_LOKI_SFMT_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D) + +$(OBJ_lok_sfmt_MT_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_LOKI_SFMT_INCLUDES_MT_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_D_W): $(OBJ_LOKI_SFMT_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D_W) + +$(OBJ_lok_sfmt_MT_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D): $(OBJ_LOKI_SFMT_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D) + +$(OBJ_lok_sfmt_DLL_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D_W): $(OBJ_LOKI_SFMT_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D_W) + +$(OBJ_lok_sfmt_DLL_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R): $(OBJ_LOKI_SFMT_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R) + +$(OBJ_lok_sfmt_DLL_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R_W): $(OBJ_LOKI_SFMT_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R_W) + +$(OBJ_lok_sfmt_DLL_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R): $(OBJ_LOKI_SFMT_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R) + +$(OBJ_lok_sfmt_MT_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_LOKI_SFMT_INCLUDES_MT_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R_W): $(OBJ_LOKI_SFMT_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R_W) + +$(OBJ_lok_sfmt_MT_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +!endif # LOKI +######################################## +# shwild library - 'shwild.X.core.a' + +$(LIB_SHWILD_MT_D): $(OBJ_SHWILD_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D) + +$(OBJ_shw_api_MT_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_D_W): $(OBJ_SHWILD_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D_W) + +$(OBJ_shw_api_MT_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D): $(OBJ_SHWILD_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D) + +$(OBJ_shw_api_DLL_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D_W): $(OBJ_SHWILD_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D_W) + +$(OBJ_shw_api_DLL_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R): $(OBJ_SHWILD_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R) + +$(OBJ_shw_api_DLL_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R_W): $(OBJ_SHWILD_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R_W) + +$(OBJ_shw_api_DLL_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R): $(OBJ_SHWILD_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R) + +$(OBJ_shw_api_MT_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R_W): $(OBJ_SHWILD_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R_W) + +$(OBJ_shw_api_MT_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +######################################## +# xTests library - 'xtests.X.ff.core.a' + +$(LIB_XTESTS_MT_D): $(OBJ_XTESTS_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D) + +$(OBJ_xts_core_MT_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_XTESTS_INCLUDES_MT_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_D_W): $(OBJ_XTESTS_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D_W) + +$(OBJ_xts_core_MT_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_XTESTS_INCLUDES_MT_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D): $(OBJ_XTESTS_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D) + +$(OBJ_xts_core_DLL_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_XTESTS_INCLUDES_DLL_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D_W): $(OBJ_XTESTS_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D_W) + +$(OBJ_xts_core_DLL_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_XTESTS_INCLUDES_DLL_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R): $(OBJ_XTESTS_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R) + +$(OBJ_xts_core_DLL_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_XTESTS_INCLUDES_DLL_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R_W): $(OBJ_XTESTS_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R_W) + +$(OBJ_xts_core_DLL_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_XTESTS_INCLUDES_DLL_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R): $(OBJ_XTESTS_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R) + +$(OBJ_xts_core_MT_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_XTESTS_INCLUDES_MT_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R_W): $(OBJ_XTESTS_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R_W) + +$(OBJ_xts_core_MT_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_XTESTS_INCLUDES_MT_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +################################################## +# Examples: BitBucket + +$(BITBUCKET_SRC_DIR)\bitbucket.cpp: #$(PROJ_MAKEFILE_NAME) + @-if not exist $(BITBUCKET_SRC_DIR)\NUL mkdir $(BITBUCKET_SRC_DIR) + echo #include "stdio.h" > $@ + echo int main(int, char**) { int ch; for(; EOF != (ch = getchar());) { ; } return 0; } >> $@ + +$(TARG_BITBUCKET_MT_D): $(OBJ_BITBUCKET_MT_D) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_BITBUCKET_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_BITBUCKET_MT_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_D_W): $(OBJ_BITBUCKET_MT_D_W) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_BITBUCKET_MT_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D): $(OBJ_BITBUCKET_DLL_D) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_BITBUCKET_DLL_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D_W): $(OBJ_BITBUCKET_DLL_D_W) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_BITBUCKET_DLL_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R): $(OBJ_BITBUCKET_DLL_R) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_BITBUCKET_DLL_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R_W): $(OBJ_BITBUCKET_DLL_R_W) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_BITBUCKET_DLL_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R): $(OBJ_BITBUCKET_MT_R) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_BITBUCKET_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_BITBUCKET_MT_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R_W): $(OBJ_BITBUCKET_MT_R_W) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_BITBUCKET_MT_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + + +################################################## +# Examples + +$(TARG_EX_boolean_MT_D): \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_boolean_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_D_W): \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_boolean_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D): \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_boolean_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D_W): \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_boolean_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R): \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_boolean_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R_W): \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_boolean_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R): \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_boolean_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R_W): \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_boolean_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_character_MT_D): \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_character_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_D_W): \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_character_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D): \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_character_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D_W): \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_character_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R): \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_character_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R_W): \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_character_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R): \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_character_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R_W): \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_character_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_reals_MT_D): \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_D_W): \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_reals_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D): \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D_W): \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_reals_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R): \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R_W): \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_reals_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R): \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R_W): \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_reals_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_voidptrs_MT_D): \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_voidptrs_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_D_W): \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_voidptrs_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D): \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_voidptrs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D_W): \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_voidptrs_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R): \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_voidptrs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R_W): \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_voidptrs_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R): \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_voidptrs_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R_W): \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_voidptrs_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_ff_101_MT_D): \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_D): \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_R): \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_MT_R): \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_nons_MT_D): \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_nons_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_D): \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_nons_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_R): \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_nons_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_MT_R): \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_nons_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_flush_MT_D): \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_flush_MT_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_D): \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_flush_DLL_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_R): \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_flush_DLL_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_MT_R): \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_flush_MT_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_ins_hex_MT_D): \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_hex_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_D): \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_hex_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_R): \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_hex_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_MT_R): \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_hex_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_integers_MT_D): \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_integers_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_D): \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_integers_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_R): \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_integers_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_MT_R): \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_integers_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_reals_MT_D): \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_D): \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_R): \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_MT_R): \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + + +$(TARG_EX_ins_WLIs_MT_D): \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_WLIs_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_D): \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_WLIs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_R): \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_WLIs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_MT_R): \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_WLIs_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + + +$(TARG_EX_itr_fmt_MT_D): \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_itr_fmt_MT_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_D_W): \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_itr_fmt_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D): \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_itr_fmt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D_W): \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_itr_fmt_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R): \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_itr_fmt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R_W): \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_itr_fmt_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R): \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_itr_fmt_MT_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R_W): \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARG_BITBUCKET_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_itr_fmt_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_ovld2_filt_MT_D): \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_filt_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_D): \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_filt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_R): \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_filt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_MT_R): \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_filt_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + + +$(TARG_EX_ovld2_ins_MT_D): \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_ins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_D): \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_ins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_R): \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_ins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_MT_R): \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_ins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_sas_MT_D): \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_sas_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_D): \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_sas_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_R): \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_sas_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_MT_R): \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_sas_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld3_fpcol_MT_D): \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_fpcol_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_D): \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_fpcol_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_R): \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_fpcol_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_MT_R): \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_fpcol_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_tabs_MT_D): \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_tabs_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_D): \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_tabs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_R): \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_tabs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_MT_R): \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_tabs_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_svrlg_MT_D): \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_svrlg_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_D): \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_svrlg_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_R): \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_svrlg_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_MT_R): \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_svrlg_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_rcins_MT_D): \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_rcins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_D): \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_rcins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_R): \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_rcins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_MT_R): \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_rcins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_pad_hash_MT_D): \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_pad_hash_MT_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_D): \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_pad_hash_DLL_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_R): \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_pad_hash_DLL_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_MT_R): \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_pad_hash_MT_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_sink_cstr_MT_D): \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_cstr_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_D): \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_cstr_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_R): \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_cstr_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_MT_R): \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_cstr_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_ODS_MT_D): \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_ODS_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_D_W): \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_sink_ODS_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D): \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_ODS_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D_W): \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_sink_ODS_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R): \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_ODS_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R_W): \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_sink_ODS_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R): \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_ODS_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R_W): \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_sink_ODS_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +################################################## +# Tests + +############################## +# Component Tests + +$(TARG_TEST_COMP_snk_a_b_MT_D): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_a_b_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_a_b_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_file_MT_D): \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_file_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_D_W): \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_file_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D): \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_file_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D_W): \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_file_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R): \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_file_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R_W): \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_file_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R): \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_file_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R_W): \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_file_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_fmt_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_fmt_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_write_sink_MT_D): \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_write_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_D_W): \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_write_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D): \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_write_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_write_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R): \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_write_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_write_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R): \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_write_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R_W): \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_write_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_x_1_MT_D): \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_x_1_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_D_W): \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_x_1_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D): \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_x_1_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D_W): \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_x_1_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R): \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_x_1_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R_W): \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_x_1_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R): \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_x_1_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R_W): \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_x_1_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_null_sink_MT_D): \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_null_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_D_W): \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_null_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D): \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_null_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_null_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R): \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_null_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_null_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R): \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_null_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R_W): \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_null_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D): \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_hex_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_hex_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_hex_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_hex_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R): \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_hex_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_hex_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_int_MT_D): \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_int_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_D_W): \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_int_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D): \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_int_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_int_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R): \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_int_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_int_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R): \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_int_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R_W): \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_int_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_real_MT_D): \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_real_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_D_W): \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_real_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D): \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_real_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_real_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R): \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_real_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_real_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R): \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_real_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R_W): \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_real_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_itr_fmt_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_itr_fmt_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_ostm_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_ostm_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +############################## +# Performance Tests + +$(TARG_TEST_PERF_scen1_MT_D): \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen1_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_D_W): \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen1_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D): \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen1_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D_W): \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen1_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R): \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen1_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R_W): \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen1_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R): \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen1_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R_W): \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen1_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen2_MT_D): \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen2_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_D_W): \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen2_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D): \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen2_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D_W): \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen2_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R): \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen2_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R_W): \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen2_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R): \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen2_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R_W): \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen2_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen3_MT_D): \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen3_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_D_W): \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen3_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D): \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen3_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D_W): \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen3_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R): \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen3_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R_W): \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen3_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R): \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen3_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R_W): \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen3_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen4_MT_D): \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen4_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_D_W): \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen4_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D): \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen4_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D_W): \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen4_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R): \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen4_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R_W): \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen4_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R): \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen4_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R_W): \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen4_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen5_MT_D): \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen5_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_D_W): \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen5_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D): \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen5_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D_W): \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen5_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R): \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen5_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R_W): \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen5_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R): \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen5_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R_W): \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen5_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen6_MT_D): \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen6_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_D_W): \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen6_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D): \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen6_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D_W): \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen6_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R): \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen6_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R_W): \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen6_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R): \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen6_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R_W): \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen6_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen7_MT_D): \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen7_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_D_W): \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen7_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D): \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen7_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D_W): \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen7_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R): \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen7_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R_W): \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen7_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R): \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen7_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R_W): \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen7_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +!ifdef BOOST +$(TARG_TEST_PERF_scen8_MT_D): \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen8_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_D_W): \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen8_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D): \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen8_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D_W): \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen8_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R): \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen8_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R_W): \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen8_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R): \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen8_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R_W): \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen8_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +!endif # BOOST +############################## +# Scratch Tests + +$(TARG_TEST_SCRT_file_MT_D): \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_file_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_D_W): \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_file_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D): \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_file_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D_W): \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_file_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R): \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_file_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R_W): \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_file_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R): \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_file_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R_W): \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_file_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_speech_MT_D): \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_speech_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_D_W): \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_speech_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D): \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_speech_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D_W): \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_speech_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R): \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_speech_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R_W): \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_speech_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R): \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_speech_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R_W): \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_speech_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +############################## +# Unit Tests + + +$(TARG_TEST_UNIT_api_acsllen_MT_D): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_crlf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_crlf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_init_MT_D): \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_init_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_D_W): \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_init_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D): \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_init_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_init_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R): \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_init_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_init_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R): \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_init_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R_W): \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_init_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ods_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ods_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_ch_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_ch_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D): \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_i_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_i_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_i_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_i_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R): \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_i_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_i_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_shim_fi_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_shim_fi_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D): \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_null_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_null_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_null_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_null_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R): \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_null_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_null_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +############################### end of file ################################ diff --git a/build/vc12/makefile b/build/vc12/makefile new file mode 100644 index 0000000..578acd0 --- /dev/null +++ b/build/vc12/makefile @@ -0,0 +1,12423 @@ +############################################################################ +# File: makefile +# +# Purpose: Makefile for the FastFormat project, for the Visual C++ 12 (Win32) +# compiler, on Win32 +# +# Created: 22nd December 2007 +# Updated: 25th September 2015 +# +############################################################################ + + +############################################################################ +# Environment check +# + +!ifndef STLSOFT +!error STLSOFT must be defined +!endif # !STLSOFT + +############################################################################ +# Symbols + +######################################## +# Project & compiler version + +COMP_TAG = vc12 +ARCH_TAG = +OS_TAG = +PROJ_TAG = fastformat +PROJ_VER_MAJOR = 0 +PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) + +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) + +SHWILD_VER_MAJOR = 0 +SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) + +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + +XTESTS_VER_MAJOR = 0 +XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) + +PROJ_MAKEFILE_NAME = makefile + +######################################## +# Testing verbosity + +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY + +######################################## +# Directories + +PROJ_BASE_DIR = ..\.. +PROJ_BIN_DIR = $(PROJ_BASE_DIR)\bin +PROJ_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +PROJ_LIB_DIR = $(PROJ_BASE_DIR)\lib +PROJ_OBJ_DIR = . +PROJ_SRC_DIR = $(PROJ_BASE_DIR)\src +PROJ_EXAMPLES_DIR = $(PROJ_BASE_DIR)\examples +PROJ_SAMPLES_DIR = $(PROJ_BASE_DIR)\samples +PROJ_BUNDLES_DIR = $(PROJ_BASE_DIR)\test\data\bundles +PROJ_TEST_ROOT_DIR = $(PROJ_BASE_DIR)\test +PROJ_TEST_COMPONENT_DIR = $(PROJ_TEST_ROOT_DIR)\component +PROJ_TEST_PERFORMANCE_DIR = $(PROJ_TEST_ROOT_DIR)\performance +PROJ_TEST_SCRATCH_DIR = $(PROJ_TEST_ROOT_DIR)\scratch +PROJ_TEST_UNIT_DIR = $(PROJ_TEST_ROOT_DIR)\unit + +BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket + +LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt + +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR + +######################################## +# tools + +CC = cl +CPPC = cl +AR = lib +LD = link +RM = del /f +CP = copy +RC = rc + + +# Lib + +LIBS_LEADER = + +# lib paths + +ENV_LIBPATHS = +COMMON_LIBPATHS = + + +LIBPATHS=\ +$(LIBS_LEADER)\ +$(COMMON_LIBPATHS)\ +$(ENV_LIBPATHS)\ +\ + + +# lib names + +TARGET_LIB_MT_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + + +# #defines + +COMMON_DEFS = -DWIN32 + +CUSTOM_DEFS = + +# includes + +COMMON_INCLUDES = + + + +# CPPC flags + +CPPC_F_NOLOGO = -nologo +CPPC_F_COMPILE_ONLY = -c +CPPC_F_WARNING_MAX = -W4 -wd4800 +CPPC_F_WARNING_ERR = -WX +CPPC_F_EXCEPTIONS_ON = -EHsc +CPPC_F_EXCEPTIONS_OFF = -wd4530 +CPPC_F_EXCEPTIONS = $(CPPC_F_EXCEPTIONS_ON) +CPPC_F_RTTI_ON = -GR +CPPC_F_RTTI_OFF = -GR- +CPPC_F_RTTI = $(CPPC_F_RTTI_ON) +CPPC_F_MINIMUM_SIZE = -O1 +CPPC_F_MAXIMUM_SPEED = -O2 -Ox + +CPPC_FLAGS_BASE =\ + $(CPPC_F_NOLOGO)\ + $(CPPC_F_COMPILE_ONLY)\ + $(CPPC_F_WARNING_MAX)\ + $(CPPC_F_WARNING_ERR)\ + $(CPPC_F_EXCEPTIONS)\ + $(CPPC_F_RTTI)\ + \ + +CPPC_FLAGS_MT_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + + +# CPPC defines + +!ifdef FASTFORMAT_NO_NAG +NO_NAG_DEFS = -DFASTFORMAT_NO_VERSION_NAG=1 +!else # ? FASTFORMAT_NO_NAG +NO_NAG_DEFS = +!endif # FASTFORMAT_NO_NAG + +CPPC_DEFS_BASE =\ + $(COMMON_DEFS)\ + $(CUSTOM_DEFS)\ + $(NO_NAG_DEFS)\ + \ + +!ifdef BOOST +DEFINE_BOOST_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_BOOST +!else # BOOST +DEFINE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +DEFINE_LOKI_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_LOKI +!else # LOKI +DEFINE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_DEFS_MT_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + + + +# CPPC includes + +!ifdef STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = -I"$(STLSOFT_1_10)/include" +!else # STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = +!endif # STLSOFT_1_10 + +CPPC_INCLUDES_BASE=\ + \ + -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ + $(STLSOFT_1_10_INCLUDE)\ + -I$(STLSOFT)\include\ + $(COMMON_INCLUDES)\ + \ + +CPPC_CORE_INCLUDES_BASE=\ + -I$(PROJ_SRC_DIR)\ + $(CPPC_INCLUDES_BASE)\ + \ + +!ifdef BOOST +INCLUDE_BOOST_FOR_PERFTEST = -I"$(BOOST)" +!else # BOOST +INCLUDE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +INCLUDE_LOKI_FOR_PERFTEST = -I"$(LOKI)/include" +!else # LOKI +INCLUDE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_INCLUDES_MT_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + + + +CPPC_SHWILD_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + + +CPPC_XTESTS_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + + + +# CPPC args (= flags + defines + includes) + +CPPC_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D) +CPPC_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D_W) +CPPC_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D) +CPPC_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D_W) +CPPC_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R) +CPPC_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R_W) +CPPC_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R) +CPPC_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R_W) + +CPPC_CORE_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) + + + + +# AR Args + +AR_ARGS = -nologo + + +# LD flags + +LD_F_NOLOGO = -nologo + +LD_FLAGS_BASE =\ + $(LD_F_NOLOGO)\ + \ + +LD_FLAGS_MT_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R_W =\ + $(LD_FLAGS_BASE)\ + \ + + +# LD includes + +LD_INCLUDES_BASE =\ + $(LIBPATHS)\ + \ + +LD_INCLUDES_MT_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + + +LD_ARGS = + +LD_F_NOLOGO = -nologo + +# LD args + +LD_ARGS_MT_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) + +############################################################################ +# Headers + +CORE_HEADERS=\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.h\ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\ff.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\implicit_link.h\ + $(PROJ_INCLUDE_DIR)\fastformat\init_codes.h\ + $(PROJ_INCLUDE_DIR)\fastformat\exceptions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\openrj_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\properties_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\winini_bundle.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\format\standard_flags.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_missing_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\mismatched_arguments_scope_base.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\integer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\real.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_e.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_f.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_g.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_i.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\internal\format_element.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\stlsoft.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\string_encoding.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\threading.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\initialiser.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\api_functions.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\helper_functions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\quality\contract.h\ + $(PROJ_INCLUDE_DIR)\fastformat\quality\cover.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\shims\action\fmt_slices\generic_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\fast_integers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\reals.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\void_pointers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ACE_CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\auto_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CComBSTR.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\char_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\c_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\FILE.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\null.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ostream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\OutputDebugString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\shim_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\speech.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\stringstream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\string_array.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\vectored_file.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.first_include.h\ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.last_include.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\util\memory\auto_buffer_selector.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\sinks\helpers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\string\snprintf.h\ + \ + +SHWILD_HEADERS=\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)\xcover\xcover.h\ + $(PROJ_INCLUDE_DIR)\xcover\internal\reporter.h\ + \ + +XTESTS_HEADERS=\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ + \ + +############################################################################ +# Names + +############################################################ +# Targets + +######################################## +# Libraries + +# fastformat +LIB_CORE_MT_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_CORE_MT_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_CORE_DLL_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_CORE_DLL_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_CORE_DLL_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.lib +LIB_CORE_DLL_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_CORE_MT_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.lib +LIB_CORE_MT_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# Loki.SafeFormat - performance testing only +!ifdef LOKI +LIB_LOKI_SFMT_MT_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_LOKI_SFMT_MT_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_LOKI_SFMT_DLL_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_LOKI_SFMT_DLL_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_LOKI_SFMT_DLL_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_LOKI_SFMT_DLL_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_LOKI_SFMT_MT_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_LOKI_SFMT_MT_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib +!else # LOKI +LIB_LOKI_SFMT_MT_D = +LIB_LOKI_SFMT_MT_D_W = +LIB_LOKI_SFMT_DLL_D = +LIB_LOKI_SFMT_DLL_D_W = +LIB_LOKI_SFMT_DLL_R = +LIB_LOKI_SFMT_DLL_R_W = +LIB_LOKI_SFMT_MT_R = +LIB_LOKI_SFMT_MT_R_W = +!endif # LOKI + +# shwild - testing only +LIB_SHWILD_MT_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_SHWILD_MT_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_SHWILD_DLL_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_SHWILD_DLL_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_SHWILD_DLL_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_SHWILD_DLL_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_SHWILD_MT_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_SHWILD_MT_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# xtests - unit/component testing only +LIB_XTESTS_MT_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_XTESTS_MT_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_XTESTS_DLL_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_XTESTS_DLL_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_XTESTS_DLL_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_XTESTS_DLL_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_XTESTS_MT_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_XTESTS_MT_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +######################################## +# Examples + +TARG_BITBUCKET_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_BITBUCKET_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_BITBUCKET_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_BITBUCKET_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_BITBUCKET_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.exe +TARG_BITBUCKET_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_BITBUCKET_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.exe +TARG_BITBUCKET_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +TARG_EX_boolean_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_character_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_voidptrs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_nons_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_flush_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_integers_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_WLIs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_filt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_ins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_sas_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_fpcol_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_tabs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_svrlg_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_rcins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_pad_hash_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_cstr_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_ODS_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.debug.exe + +TARG_EX_boolean_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_character_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_reals_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_voidptrs_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_sink_ODS_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe + +TARG_EX_boolean_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_character_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_voidptrs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_nons_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_flush_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_integers_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_WLIs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_filt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_ins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_sas_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_fpcol_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_tabs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_svrlg_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_rcins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_pad_hash_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_cstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_ODS_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.debug.exe + +TARG_EX_boolean_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_character_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_reals_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_voidptrs_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_sink_ODS_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe + +TARG_EX_boolean_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_character_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_voidptrs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_nons_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_flush_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_integers_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_WLIs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_filt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_ins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_sas_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_fpcol_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_tabs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_svrlg_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_rcins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_pad_hash_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_cstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_ODS_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.exe + +TARG_EX_boolean_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_character_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_reals_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_voidptrs_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_sink_ODS_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.exe + +TARG_EX_boolean_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_character_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_voidptrs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_nons_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_flush_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_integers_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_WLIs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_filt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_ins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_sas_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_fpcol_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_tabs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_svrlg_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_rcins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_pad_hash_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_cstr_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_ODS_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.exe + +TARG_EX_boolean_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_character_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_reals_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_voidptrs_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_sink_ODS_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.exe + + +######################################## +# Tests + +############################## +# Component Tests + +TARG_TEST_COMP_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_x_1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_x_1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_int_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_real_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_null_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_write_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_x_1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_int_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_real_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_null_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_write_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_x_1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_fmt_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_int_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_real_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_null_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_write_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_x_1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_hex_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_int_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_real_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_null_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_write_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_x_1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Performance Tests + +TARG_TEST_PERF_scen1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen2_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen3_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen4_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen5_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen6_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen7_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen2_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen3_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen4_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen5_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen6_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen7_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen2_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen3_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen4_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen5_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen6_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen7_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen2_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen3_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen4_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen5_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen6_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen7_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen2_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen3_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen4_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen5_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen6_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen7_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen2_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen3_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen4_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen5_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen6_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen7_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!endif # BOOST + +############################## +# Scratch Tests + +TARG_TEST_SCRT_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_speech_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_speech_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_speech_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_speech_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_speech_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_SCRT_speech_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Unit Tests + +TARG_TEST_UNIT_api_acsllen_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_init_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_i_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_null_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_init_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_acsllen_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_crlf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_init_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_ch_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_i_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_shim_fi_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_null_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ods_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_init_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_i_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_null_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################################################################ +# Objects + +######################################## +# Libraries + +# fastformat + +OBJ_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.obj +OBJ_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.obj +OBJ_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.obj +OBJ_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.obj +OBJ_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.obj +OBJ_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.obj +PDB_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.pdb +PDB_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.pdb +PDB_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.pdb +PDB_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.pdb +PDB_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.pdb +PDB_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.pdb + +OBJ_CORE_MT_D = \ + $(OBJ_cr_api_MT_D)\ + $(OBJ_cr_fsdhndlrs_MT_D)\ + $(OBJ_cr_fmtcache_MT_D)\ + $(OBJ_cr_initstr_MT_D)\ + $(OBJ_cr_mempool_MT_D)\ + $(OBJ_cr_replacements_MT_D)\ + $(OBJ_cr_snprintf_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_cr_api_MT_D)\ + $(PDB_cr_fsdhndlrs_MT_D)\ + $(PDB_cr_fmtcache_MT_D)\ + $(PDB_cr_initstr_MT_D)\ + $(PDB_cr_mempool_MT_D)\ + $(PDB_cr_replacements_MT_D)\ + $(PDB_cr_snprintf_MT_D)\ + \ + +OBJ_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.obj +OBJ_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.obj +OBJ_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.obj +OBJ_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.obj +OBJ_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.obj +OBJ_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.obj +PDB_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.pdb +PDB_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.pdb +PDB_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.pdb +PDB_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.pdb +PDB_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.pdb +PDB_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.pdb + +OBJ_CORE_MT_D_W = \ + $(OBJ_cr_api_MT_D_W)\ + $(OBJ_cr_fsdhndlrs_MT_D_W)\ + $(OBJ_cr_fmtcache_MT_D_W)\ + $(OBJ_cr_initstr_MT_D_W)\ + $(OBJ_cr_mempool_MT_D_W)\ + $(OBJ_cr_replacements_MT_D_W)\ + $(OBJ_cr_snprintf_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_cr_api_MT_D_W)\ + $(PDB_cr_fsdhndlrs_MT_D_W)\ + $(PDB_cr_fmtcache_MT_D_W)\ + $(PDB_cr_initstr_MT_D_W)\ + $(PDB_cr_mempool_MT_D_W)\ + $(PDB_cr_replacements_MT_D_W)\ + $(PDB_cr_snprintf_MT_D_W)\ + \ + +OBJ_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.obj +OBJ_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.obj +OBJ_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.obj +OBJ_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.obj +OBJ_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.obj +OBJ_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.obj +PDB_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.pdb +PDB_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.pdb +PDB_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.pdb +PDB_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.pdb +PDB_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.pdb +PDB_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.pdb + +OBJ_CORE_DLL_D = \ + $(OBJ_cr_api_DLL_D)\ + $(OBJ_cr_fsdhndlrs_DLL_D)\ + $(OBJ_cr_fmtcache_DLL_D)\ + $(OBJ_cr_initstr_DLL_D)\ + $(OBJ_cr_mempool_DLL_D)\ + $(OBJ_cr_replacements_DLL_D)\ + $(OBJ_cr_snprintf_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_cr_api_DLL_D)\ + $(PDB_cr_fsdhndlrs_DLL_D)\ + $(PDB_cr_fmtcache_DLL_D)\ + $(PDB_cr_initstr_DLL_D)\ + $(PDB_cr_mempool_DLL_D)\ + $(PDB_cr_replacements_DLL_D)\ + $(PDB_cr_snprintf_DLL_D)\ + \ + +OBJ_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.obj +OBJ_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.obj +OBJ_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.obj +OBJ_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.obj +OBJ_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.obj +OBJ_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.obj +PDB_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.pdb +PDB_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.pdb +PDB_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.pdb +PDB_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.pdb +PDB_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.pdb +PDB_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.pdb + +OBJ_CORE_DLL_D_W = \ + $(OBJ_cr_api_DLL_D_W)\ + $(OBJ_cr_fsdhndlrs_DLL_D_W)\ + $(OBJ_cr_fmtcache_DLL_D_W)\ + $(OBJ_cr_initstr_DLL_D_W)\ + $(OBJ_cr_mempool_DLL_D_W)\ + $(OBJ_cr_replacements_DLL_D_W)\ + $(OBJ_cr_snprintf_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_cr_api_DLL_D_W)\ + $(PDB_cr_fsdhndlrs_DLL_D_W)\ + $(PDB_cr_fmtcache_DLL_D_W)\ + $(PDB_cr_initstr_DLL_D_W)\ + $(PDB_cr_mempool_DLL_D_W)\ + $(PDB_cr_replacements_DLL_D_W)\ + $(PDB_cr_snprintf_DLL_D_W)\ + \ + +OBJ_cr_api_DLL_R = $(PROJ_OBJ_DIR)\core.api.dll.obj +OBJ_cr_fsdhndlrs_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.obj +OBJ_cr_fmtcache_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.obj +OBJ_cr_initstr_DLL_R = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.obj +OBJ_cr_mempool_DLL_R = $(PROJ_OBJ_DIR)\core.mempool.dll.obj +OBJ_cr_replacements_DLL_R = $(PROJ_OBJ_DIR)\core.replacements.dll.obj +OBJ_cr_snprintf_DLL_R = $(PROJ_OBJ_DIR)\core.snprintf.dll.obj + +OBJ_CORE_DLL_R = \ + $(OBJ_cr_api_DLL_R)\ + $(OBJ_cr_fsdhndlrs_DLL_R)\ + $(OBJ_cr_fmtcache_DLL_R)\ + $(OBJ_cr_initstr_DLL_R)\ + $(OBJ_cr_mempool_DLL_R)\ + $(OBJ_cr_replacements_DLL_R)\ + $(OBJ_cr_snprintf_DLL_R)\ + \ + +OBJ_cr_api_DLL_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.obj +OBJ_cr_fsdhndlrs_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.obj +OBJ_cr_fmtcache_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.obj +OBJ_cr_initstr_DLL_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.obj +OBJ_cr_mempool_DLL_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.obj +OBJ_cr_replacements_DLL_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.obj +OBJ_cr_snprintf_DLL_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.obj + +OBJ_CORE_DLL_R_W = \ + $(OBJ_cr_api_DLL_R_W)\ + $(OBJ_cr_fsdhndlrs_DLL_R_W)\ + $(OBJ_cr_fmtcache_DLL_R_W)\ + $(OBJ_cr_initstr_DLL_R_W)\ + $(OBJ_cr_mempool_DLL_R_W)\ + $(OBJ_cr_replacements_DLL_R_W)\ + $(OBJ_cr_snprintf_DLL_R_W)\ + \ + +OBJ_cr_api_MT_R = $(PROJ_OBJ_DIR)\core.api.mt.obj +OBJ_cr_fsdhndlrs_MT_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.obj +OBJ_cr_fmtcache_MT_R = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.obj +OBJ_cr_initstr_MT_R = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.obj +OBJ_cr_mempool_MT_R = $(PROJ_OBJ_DIR)\core.mempool.mt.obj +OBJ_cr_replacements_MT_R = $(PROJ_OBJ_DIR)\core.replacements.mt.obj +OBJ_cr_snprintf_MT_R = $(PROJ_OBJ_DIR)\core.snprintf.mt.obj + +OBJ_CORE_MT_R = \ + $(OBJ_cr_api_MT_R)\ + $(OBJ_cr_fsdhndlrs_MT_R)\ + $(OBJ_cr_fmtcache_MT_R)\ + $(OBJ_cr_initstr_MT_R)\ + $(OBJ_cr_mempool_MT_R)\ + $(OBJ_cr_replacements_MT_R)\ + $(OBJ_cr_snprintf_MT_R)\ + \ + +OBJ_cr_api_MT_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.obj +OBJ_cr_fsdhndlrs_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.obj +OBJ_cr_fmtcache_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.obj +OBJ_cr_initstr_MT_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.obj +OBJ_cr_mempool_MT_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.obj +OBJ_cr_replacements_MT_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.obj +OBJ_cr_snprintf_MT_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.obj + +OBJ_CORE_MT_R_W = \ + $(OBJ_cr_api_MT_R_W)\ + $(OBJ_cr_fsdhndlrs_MT_R_W)\ + $(OBJ_cr_fmtcache_MT_R_W)\ + $(OBJ_cr_initstr_MT_R_W)\ + $(OBJ_cr_mempool_MT_R_W)\ + $(OBJ_cr_replacements_MT_R_W)\ + $(OBJ_cr_snprintf_MT_R_W)\ + \ + + +# Loki.SafeFormat + +!ifdef LOKI +OBJ_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.obj +PDB_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D = \ + $(OBJ_lok_sfmt_MT_D)\ + \ + +PDB_LOKI_SFMT_MT_D = \ + $(PDB_lok_sfmt_MT_D)\ + \ + +OBJ_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.obj +PDB_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D_W = \ + $(OBJ_lok_sfmt_MT_D_W)\ + \ + +PDB_LOKI_SFMT_MT_D_W = \ + $(PDB_lok_sfmt_MT_D_W)\ + \ + +OBJ_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.obj +PDB_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D = \ + $(OBJ_lok_sfmt_DLL_D)\ + \ + +PDB_LOKI_SFMT_DLL_D = \ + $(PDB_lok_sfmt_DLL_D)\ + \ + +OBJ_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.obj +PDB_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D_W = \ + $(OBJ_lok_sfmt_DLL_D_W)\ + \ + +PDB_LOKI_SFMT_DLL_D_W = \ + $(PDB_lok_sfmt_DLL_D_W)\ + \ + +OBJ_lok_sfmt_DLL_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.obj + +OBJ_LOKI_SFMT_DLL_R = \ + $(OBJ_lok_sfmt_DLL_R)\ + \ + +OBJ_lok_sfmt_DLL_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.obj + +OBJ_LOKI_SFMT_DLL_R_W = \ + $(OBJ_lok_sfmt_DLL_R_W)\ + \ + +OBJ_lok_sfmt_MT_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.obj + +OBJ_LOKI_SFMT_MT_R = \ + $(OBJ_lok_sfmt_MT_R)\ + \ + +OBJ_lok_sfmt_MT_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.obj + +OBJ_LOKI_SFMT_MT_R_W = \ + $(OBJ_lok_sfmt_MT_R_W)\ + \ + +!else # LOKI +OBJ_lok_sfmt_MT_D = +PDB_lok_sfmt_MT_D = +OBJ_LOKI_SFMT_MT_D_PM = +PDB_LOKI_SFMT_MT_D_PM = +OBJ_lok_sfmt_MT_D_W = +PDB_lok_sfmt_MT_D_W = +OBJ_LOKI_SFMT_MT_D_W_PM = +PDB_LOKI_SFMT_MT_D_W_PM = +OBJ_lok_sfmt_DLL_D = +PDB_lok_sfmt_DLL_D = +OBJ_LOKI_SFMT_DLL_D_PM = +PDB_LOKI_SFMT_DLL_D_PM = +OBJ_lok_sfmt_DLL_D_W = +PDB_lok_sfmt_DLL_D_W = +OBJ_LOKI_SFMT_DLL_D_W_PM = +PDB_LOKI_SFMT_DLL_D_W_PM = +OBJ_lok_sfmt_DLL_R = +PDB_lok_sfmt_DLL_R = +OBJ_LOKI_SFMT_DLL_R_PM = +PDB_LOKI_SFMT_DLL_R_PM = +OBJ_lok_sfmt_DLL_R_W = +PDB_lok_sfmt_DLL_R_W = +OBJ_LOKI_SFMT_DLL_R_W_PM = +PDB_LOKI_SFMT_DLL_R_W_PM = +OBJ_lok_sfmt_MT_R = +PDB_lok_sfmt_MT_R = +OBJ_LOKI_SFMT_MT_R_PM = +PDB_LOKI_SFMT_MT_R_PM = +OBJ_lok_sfmt_MT_R_W = +PDB_lok_sfmt_MT_R_W = +OBJ_LOKI_SFMT_MT_R_W_PM = +PDB_LOKI_SFMT_MT_R_W_PM = +!endif # LOKI + +# shwild + +OBJ_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.obj +OBJ_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.obj +OBJ_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.obj +PDB_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.pdb +PDB_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.pdb +PDB_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.pdb + +OBJ_SHWILD_MT_D = \ + $(OBJ_shw_api_MT_D)\ + $(OBJ_shw_matches_MT_D)\ + $(OBJ_shw_pattern_MT_D)\ + \ + +PDB_SHWILD_MT_D = \ + $(PDB_shw_api_MT_D)\ + $(PDB_shw_matches_MT_D)\ + $(PDB_shw_pattern_MT_D)\ + \ + +OBJ_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.obj +OBJ_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.obj +OBJ_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.obj +PDB_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.pdb +PDB_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.pdb +PDB_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.pdb + +OBJ_SHWILD_MT_D_W = \ + $(OBJ_shw_api_MT_D_W)\ + $(OBJ_shw_matches_MT_D_W)\ + $(OBJ_shw_pattern_MT_D_W)\ + \ + +PDB_SHWILD_MT_D_W = \ + $(PDB_shw_api_MT_D_W)\ + $(PDB_shw_matches_MT_D_W)\ + $(PDB_shw_pattern_MT_D_W)\ + \ + +OBJ_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.obj +OBJ_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.obj +OBJ_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.obj +PDB_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.pdb +PDB_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.pdb +PDB_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.pdb + +OBJ_SHWILD_DLL_D = \ + $(OBJ_shw_api_DLL_D)\ + $(OBJ_shw_matches_DLL_D)\ + $(OBJ_shw_pattern_DLL_D)\ + \ + +PDB_SHWILD_DLL_D = \ + $(PDB_shw_api_DLL_D)\ + $(PDB_shw_matches_DLL_D)\ + $(PDB_shw_pattern_DLL_D)\ + \ + +OBJ_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.obj +OBJ_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.obj +OBJ_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.obj +PDB_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.pdb +PDB_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.pdb +PDB_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.pdb + +OBJ_SHWILD_DLL_D_W = \ + $(OBJ_shw_api_DLL_D_W)\ + $(OBJ_shw_matches_DLL_D_W)\ + $(OBJ_shw_pattern_DLL_D_W)\ + \ + +PDB_SHWILD_DLL_D_W = \ + $(PDB_shw_api_DLL_D_W)\ + $(PDB_shw_matches_DLL_D_W)\ + $(PDB_shw_pattern_DLL_D_W)\ + \ + +OBJ_shw_api_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.obj +OBJ_shw_matches_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.obj +OBJ_shw_pattern_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.obj + +OBJ_SHWILD_DLL_R = \ + $(OBJ_shw_api_DLL_R)\ + $(OBJ_shw_matches_DLL_R)\ + $(OBJ_shw_pattern_DLL_R)\ + \ + +OBJ_shw_api_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.obj +OBJ_shw_matches_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.obj +OBJ_shw_pattern_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.obj + +OBJ_SHWILD_DLL_R_W = \ + $(OBJ_shw_api_DLL_R_W)\ + $(OBJ_shw_matches_DLL_R_W)\ + $(OBJ_shw_pattern_DLL_R_W)\ + \ + +OBJ_shw_api_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.obj +OBJ_shw_matches_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.obj +OBJ_shw_pattern_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.obj + +OBJ_SHWILD_MT_R = \ + $(OBJ_shw_api_MT_R)\ + $(OBJ_shw_matches_MT_R)\ + $(OBJ_shw_pattern_MT_R)\ + \ + +OBJ_shw_api_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.obj +OBJ_shw_matches_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.obj +OBJ_shw_pattern_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.obj + +OBJ_SHWILD_MT_R_W = \ + $(OBJ_shw_api_MT_R_W)\ + $(OBJ_shw_matches_MT_R_W)\ + $(OBJ_shw_pattern_MT_R_W)\ + \ + + +# xtests + +OBJ_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.obj +PDB_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.pdb + +OBJ_XTESTS_MT_D = \ + $(OBJ_xts_core_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_xts_core_MT_D)\ + \ + +OBJ_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.obj +PDB_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.pdb + +OBJ_XTESTS_MT_D_W = \ + $(OBJ_xts_core_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_xts_core_MT_D_W)\ + \ + +OBJ_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.obj +PDB_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.pdb + +OBJ_XTESTS_DLL_D = \ + $(OBJ_xts_core_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_xts_core_DLL_D)\ + \ + +OBJ_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.obj +PDB_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.pdb + +OBJ_XTESTS_DLL_D_W = \ + $(OBJ_xts_core_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_xts_core_DLL_D_W)\ + \ + +OBJ_xts_core_DLL_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.obj + +OBJ_XTESTS_DLL_R = \ + $(OBJ_xts_core_DLL_R)\ + \ + +OBJ_xts_core_DLL_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.obj + +OBJ_XTESTS_DLL_R_W = \ + $(OBJ_xts_core_DLL_R_W)\ + \ + +OBJ_xts_core_MT_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.obj + +OBJ_XTESTS_MT_R = \ + $(OBJ_xts_core_MT_R)\ + \ + +OBJ_xts_core_MT_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.obj + +OBJ_XTESTS_MT_R_W = \ + $(OBJ_xts_core_MT_R_W)\ + \ + + +######################################## +# Examples + +OBJ_BITBUCKET_MT_D = $(PROJ_OBJ_DIR)\bitbucket.mt.debug.obj +OBJ_BITBUCKET_MT_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.debug.obj +OBJ_BITBUCKET_DLL_D = $(PROJ_OBJ_DIR)\bitbucket.dll.debug.obj +OBJ_BITBUCKET_DLL_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.debug.obj +OBJ_BITBUCKET_DLL_R = $(PROJ_OBJ_DIR)\bitbucket.dll.obj +OBJ_BITBUCKET_DLL_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.obj +OBJ_BITBUCKET_MT_R = $(PROJ_OBJ_DIR)\bitbucket.mt.obj +OBJ_BITBUCKET_MT_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.obj + +OBJ_EX_boolean_MT_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.debug.obj +OBJ_EX_character_MT_D = $(PROJ_OBJ_DIR)\example.arguments.character.mt.debug.obj +OBJ_EX_reals_MT_D = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.debug.obj +OBJ_EX_voidptrs_MT_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.debug.obj +OBJ_EX_ff_101_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.mt.debug.obj +OBJ_EX_ff_101_nons_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.debug.obj +OBJ_EX_flush_MT_D = $(PROJ_OBJ_DIR)\example.flush.mt.debug.obj +OBJ_EX_ins_hex_MT_D = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.debug.obj +OBJ_EX_ins_integers_MT_D = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.debug.obj +OBJ_EX_ins_reals_MT_D = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.debug.obj +OBJ_EX_ins_WLIs_MT_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.debug.obj +OBJ_EX_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.debug.obj +OBJ_EX_ovld2_filt_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.debug.obj +OBJ_EX_ovld2_ins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.debug.obj +OBJ_EX_ovld2_sas_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.debug.obj +OBJ_EX_ovld3_fpcol_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.debug.obj +OBJ_EX_ovld3_tabs_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.debug.obj +OBJ_EX_ovld3_svrlg_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.debug.obj +OBJ_EX_ovld3_rcins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.debug.obj +OBJ_EX_pad_hash_MT_D = $(PROJ_OBJ_DIR)\example.pad.hash.mt.debug.obj +OBJ_EX_sink_cstr_MT_D = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.debug.obj +OBJ_EX_sink_ODS_MT_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.debug.obj + +OBJ_EX_boolean_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.debug.obj +OBJ_EX_character_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.debug.obj +OBJ_EX_reals_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.debug.obj +OBJ_EX_voidptrs_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.debug.obj +OBJ_EX_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_EX_sink_ODS_MT_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.debug.obj + +OBJ_EX_boolean_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.debug.obj +OBJ_EX_character_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.character.dll.debug.obj +OBJ_EX_reals_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.debug.obj +OBJ_EX_voidptrs_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.debug.obj +OBJ_EX_ff_101_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.dll.debug.obj +OBJ_EX_ff_101_nons_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.debug.obj +OBJ_EX_flush_DLL_D = $(PROJ_OBJ_DIR)\example.flush.dll.debug.obj +OBJ_EX_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.debug.obj +OBJ_EX_ins_integers_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.debug.obj +OBJ_EX_ins_reals_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.debug.obj +OBJ_EX_ins_WLIs_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.debug.obj +OBJ_EX_ovld2_filt_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.debug.obj +OBJ_EX_ovld2_ins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.debug.obj +OBJ_EX_ovld2_sas_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.debug.obj +OBJ_EX_ovld3_fpcol_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.debug.obj +OBJ_EX_ovld3_tabs_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.debug.obj +OBJ_EX_ovld3_svrlg_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.debug.obj +OBJ_EX_ovld3_rcins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.debug.obj +OBJ_EX_pad_hash_DLL_D = $(PROJ_OBJ_DIR)\example.pad.hash.dll.debug.obj +OBJ_EX_sink_cstr_DLL_D = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.debug.obj + +OBJ_EX_boolean_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.debug.obj +OBJ_EX_character_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.debug.obj +OBJ_EX_reals_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.debug.obj +OBJ_EX_voidptrs_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.debug.obj + +OBJ_EX_boolean_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.obj +OBJ_EX_character_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.character.dll.obj +OBJ_EX_reals_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.obj +OBJ_EX_voidptrs_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.obj +OBJ_EX_ff_101_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.dll.obj +OBJ_EX_ff_101_nons_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.obj +OBJ_EX_flush_DLL_R = $(PROJ_OBJ_DIR)\example.flush.dll.obj +OBJ_EX_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.obj +OBJ_EX_ins_integers_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.obj +OBJ_EX_ins_reals_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.obj +OBJ_EX_ins_WLIs_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.obj +OBJ_EX_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.obj +OBJ_EX_ovld2_filt_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.obj +OBJ_EX_ovld2_ins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.obj +OBJ_EX_ovld2_sas_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.obj +OBJ_EX_ovld3_fpcol_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.obj +OBJ_EX_ovld3_tabs_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.obj +OBJ_EX_ovld3_svrlg_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.obj +OBJ_EX_ovld3_rcins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.obj +OBJ_EX_pad_hash_DLL_R = $(PROJ_OBJ_DIR)\example.pad.hash.dll.obj +OBJ_EX_sink_cstr_DLL_R = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.obj +OBJ_EX_sink_ODS_DLL_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.obj + +OBJ_EX_boolean_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.obj +OBJ_EX_character_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.obj +OBJ_EX_reals_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.obj +OBJ_EX_voidptrs_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.obj +OBJ_EX_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.obj +OBJ_EX_sink_ODS_DLL_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.obj + +OBJ_EX_boolean_MT_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.obj +OBJ_EX_character_MT_R = $(PROJ_OBJ_DIR)\example.arguments.character.mt.obj +OBJ_EX_reals_MT_R = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.obj +OBJ_EX_voidptrs_MT_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.obj +OBJ_EX_ff_101_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.mt.obj +OBJ_EX_ff_101_nons_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.obj +OBJ_EX_flush_MT_R = $(PROJ_OBJ_DIR)\example.flush.mt.obj +OBJ_EX_ins_hex_MT_R = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.obj +OBJ_EX_ins_integers_MT_R = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.obj +OBJ_EX_ins_reals_MT_R = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.obj +OBJ_EX_ins_WLIs_MT_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.obj +OBJ_EX_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.obj +OBJ_EX_ovld2_filt_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.obj +OBJ_EX_ovld2_ins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.obj +OBJ_EX_ovld2_sas_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.obj +OBJ_EX_ovld3_fpcol_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.obj +OBJ_EX_ovld3_tabs_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.obj +OBJ_EX_ovld3_svrlg_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.obj +OBJ_EX_ovld3_rcins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.obj +OBJ_EX_pad_hash_MT_R = $(PROJ_OBJ_DIR)\example.pad.hash.mt.obj +OBJ_EX_sink_cstr_MT_R = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.obj +OBJ_EX_sink_ODS_MT_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.obj + +OBJ_EX_boolean_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.obj +OBJ_EX_character_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.obj +OBJ_EX_reals_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.obj +OBJ_EX_voidptrs_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.obj +OBJ_EX_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.obj +OBJ_EX_sink_ODS_MT_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.obj + + +######################################## +# Tests + +############################## +# Component Tests + +OBJ_TEST_COMP_file_MT_D = $(PROJ_OBJ_DIR)\test.component.FILE.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D = $(PROJ_OBJ_DIR)\test.component.fsde.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.debug.obj +OBJ_TEST_COMP_file_MT_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_COMP_file_DLL_D = $(PROJ_OBJ_DIR)\test.component.FILE.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D = $(PROJ_OBJ_DIR)\test.component.fsde.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.debug.obj +OBJ_TEST_COMP_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_COMP_file_DLL_R = $(PROJ_OBJ_DIR)\test.component.FILE.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.obj +OBJ_TEST_COMP_x_1_DLL_R = $(PROJ_OBJ_DIR)\test.component.fsde.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.obj +OBJ_TEST_COMP_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.obj +OBJ_TEST_COMP_x_1_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.obj +OBJ_TEST_COMP_file_MT_R = $(PROJ_OBJ_DIR)\test.component.FILE.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.obj +OBJ_TEST_COMP_ins_int_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.obj +OBJ_TEST_COMP_ins_real_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.obj +OBJ_TEST_COMP_null_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.obj +OBJ_TEST_COMP_write_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.obj +OBJ_TEST_COMP_x_1_MT_R = $(PROJ_OBJ_DIR)\test.component.fsde.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.obj +OBJ_TEST_COMP_file_MT_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.obj +OBJ_TEST_COMP_ins_int_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.obj +OBJ_TEST_COMP_ins_real_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.obj +OBJ_TEST_COMP_null_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_COMP_write_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.obj +OBJ_TEST_COMP_x_1_MT_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.obj + +############################## +# Performance Tests + +OBJ_TEST_PERF_scen1_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.obj +OBJ_TEST_PERF_scen2_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.obj +OBJ_TEST_PERF_scen3_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.obj +OBJ_TEST_PERF_scen4_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.obj +OBJ_TEST_PERF_scen5_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.obj +OBJ_TEST_PERF_scen6_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.obj +OBJ_TEST_PERF_scen7_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.obj +OBJ_TEST_PERF_scen2_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.obj +OBJ_TEST_PERF_scen3_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.obj +OBJ_TEST_PERF_scen4_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.obj +OBJ_TEST_PERF_scen5_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.obj +OBJ_TEST_PERF_scen6_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.obj +OBJ_TEST_PERF_scen7_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.obj +OBJ_TEST_PERF_scen2_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.obj +OBJ_TEST_PERF_scen3_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.obj +OBJ_TEST_PERF_scen4_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.obj +OBJ_TEST_PERF_scen5_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.obj +OBJ_TEST_PERF_scen6_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.obj +OBJ_TEST_PERF_scen7_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.obj +OBJ_TEST_PERF_scen2_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.obj +OBJ_TEST_PERF_scen3_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.obj +OBJ_TEST_PERF_scen4_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.obj +OBJ_TEST_PERF_scen5_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.obj +OBJ_TEST_PERF_scen6_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.obj +OBJ_TEST_PERF_scen7_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.obj +!endif # BOOST + +############################## +# Scratch Tests + +OBJ_TEST_SCRT_file_MT_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.debug.obj +OBJ_TEST_SCRT_file_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.debug.obj +OBJ_TEST_SCRT_file_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.obj +OBJ_TEST_SCRT_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.obj +OBJ_TEST_SCRT_file_MT_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.obj +OBJ_TEST_SCRT_file_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.obj + +OBJ_TEST_SCRT_speech_MT_D = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.debug.obj +OBJ_TEST_SCRT_speech_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.debug.obj +OBJ_TEST_SCRT_speech_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.obj +OBJ_TEST_SCRT_speech_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.obj +OBJ_TEST_SCRT_speech_MT_R = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.obj +OBJ_TEST_SCRT_speech_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.obj + +############################## +# Unit Tests + +OBJ_TEST_UNIT_api_acsllen_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.obj +OBJ_TEST_UNIT_api_init_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_init_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.obj + +################################################## +# Target groups + +######################################## +# Objects + +# fastformat +OBJS_CORE = \ + $(OBJ_CORE_MT_D)\ + $(OBJ_CORE_MT_D_W)\ + $(OBJ_CORE_DLL_D)\ + $(OBJ_CORE_DLL_D_W)\ + $(OBJ_CORE_DLL_R)\ + $(OBJ_CORE_DLL_R_W)\ + $(OBJ_CORE_MT_R)\ + $(OBJ_CORE_MT_R_W)\ + \ + +# Loki.SafeFormat +OBJS_LOKI_SFMT = \ + $(OBJ_LOKI_SFMT_MT_D)\ + $(OBJ_LOKI_SFMT_MT_D_W)\ + $(OBJ_LOKI_SFMT_DLL_D)\ + $(OBJ_LOKI_SFMT_DLL_D_W)\ + $(OBJ_LOKI_SFMT_DLL_R)\ + $(OBJ_LOKI_SFMT_DLL_R_W)\ + $(OBJ_LOKI_SFMT_MT_R)\ + $(OBJ_LOKI_SFMT_MT_R_W)\ + \ + +# shwild +OBJS_SHWILD = \ + $(OBJ_SHWILD_MT_D)\ + $(OBJ_SHWILD_MT_D_W)\ + $(OBJ_SHWILD_DLL_D)\ + $(OBJ_SHWILD_DLL_D_W)\ + $(OBJ_SHWILD_DLL_R)\ + $(OBJ_SHWILD_DLL_R_W)\ + $(OBJ_SHWILD_MT_R)\ + $(OBJ_SHWILD_MT_R_W)\ + \ + +# xtests +OBJS_XTESTS = \ + $(OBJ_XTESTS_MT_D)\ + $(OBJ_XTESTS_MT_D_W)\ + $(OBJ_XTESTS_DLL_D)\ + $(OBJ_XTESTS_DLL_D_W)\ + $(OBJ_XTESTS_DLL_R)\ + $(OBJ_XTESTS_DLL_R_W)\ + $(OBJ_XTESTS_MT_R)\ + $(OBJ_XTESTS_MT_R_W)\ + \ + +# Examples +OBJS_EXAMPLE=\ + $(OBJ_EX_boolean_MT_D)\ + $(OBJ_EX_character_MT_D)\ + $(OBJ_EX_reals_MT_D)\ + $(OBJ_EX_voidptrs_MT_D)\ + $(OBJ_EX_ff_101_MT_D)\ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(OBJ_EX_flush_MT_D)\ + $(OBJ_EX_ins_hex_MT_D)\ + $(OBJ_EX_ins_integers_MT_D)\ + $(OBJ_EX_ins_reals_MT_D)\ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(OBJ_EX_itr_fmt_MT_D)\ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(OBJ_EX_pad_hash_MT_D)\ + $(OBJ_EX_sink_cstr_MT_D)\ + $(OBJ_EX_sink_ODS_MT_D)\ + $(OBJ_EX_boolean_MT_D_W)\ + $(OBJ_EX_character_MT_D_W)\ + $(OBJ_EX_reals_MT_D_W)\ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(OBJ_EX_boolean_DLL_D)\ + $(OBJ_EX_character_DLL_D)\ + $(OBJ_EX_reals_DLL_D)\ + $(OBJ_EX_voidptrs_DLL_D)\ + $(OBJ_EX_ff_101_DLL_D)\ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(OBJ_EX_flush_DLL_D)\ + $(OBJ_EX_ins_hex_DLL_D)\ + $(OBJ_EX_ins_integers_DLL_D)\ + $(OBJ_EX_ins_reals_DLL_D)\ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(OBJ_EX_pad_hash_DLL_D)\ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(OBJ_EX_boolean_DLL_D_W)\ + $(OBJ_EX_character_DLL_D_W)\ + $(OBJ_EX_reals_DLL_D_W)\ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(OBJ_EX_boolean_DLL_R)\ + $(OBJ_EX_character_DLL_R)\ + $(OBJ_EX_reals_DLL_R)\ + $(OBJ_EX_voidptrs_DLL_R)\ + $(OBJ_EX_ff_101_DLL_R)\ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(OBJ_EX_flush_DLL_R)\ + $(OBJ_EX_ins_hex_DLL_R)\ + $(OBJ_EX_ins_integers_DLL_R)\ + $(OBJ_EX_ins_reals_DLL_R)\ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(OBJ_EX_pad_hash_DLL_R)\ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(OBJ_EX_boolean_DLL_R_W)\ + $(OBJ_EX_character_DLL_R_W)\ + $(OBJ_EX_reals_DLL_R_W)\ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(OBJ_EX_boolean_MT_R)\ + $(OBJ_EX_character_MT_R)\ + $(OBJ_EX_reals_MT_R)\ + $(OBJ_EX_voidptrs_MT_R)\ + $(OBJ_EX_ff_101_MT_R)\ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(OBJ_EX_flush_MT_R)\ + $(OBJ_EX_ins_hex_MT_R)\ + $(OBJ_EX_ins_integers_MT_R)\ + $(OBJ_EX_ins_reals_MT_R)\ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(OBJ_EX_itr_fmt_MT_R)\ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(OBJ_EX_pad_hash_MT_R)\ + $(OBJ_EX_sink_cstr_MT_R)\ + $(OBJ_EX_sink_ODS_MT_R)\ + $(OBJ_EX_boolean_MT_R_W)\ + $(OBJ_EX_character_MT_R_W)\ + $(OBJ_EX_reals_MT_R_W)\ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(OBJ_EX_sink_ODS_MT_R_W)\ + \ + +# Component Tests +OBJS_TEST_COMPONENT=\ + $(OBJ_TEST_COMP_file_MT_D)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_COMP_file_MT_R)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +# Performance Tests +OBJS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +OBJS_TEST_PERFORMANCE_BOOST=\ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(OBJ_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +OBJS_TEST_PERFORMANCE=\ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(OBJS_TEST_PERFORMANCE_BOOST)\ + \ + +# Scratch Tests +OBJS_TEST_SCRATCH=\ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + \ + +# Unit Tests +OBJS_TEST_UNIT=\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +######################################## +# Libraries + +TARGETS_LIB_CORE = \ + $(LIB_CORE_MT_D)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_CORE_DLL_D)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_CORE_DLL_R)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_CORE_MT_R)\ + $(LIB_CORE_MT_R_W)\ + \ + +TARGETS_LIB_LOKI_SFMT = \ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + \ + +TARGETS_LIB_SHWILD = \ + $(LIB_SHWILD_MT_D)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_SHWILD_MT_R_W)\ + \ + +TARGETS_LIB_XTESTS = \ + $(LIB_XTESTS_MT_D)\ + $(LIB_XTESTS_MT_D_W)\ + $(LIB_XTESTS_DLL_D)\ + $(LIB_XTESTS_DLL_D_W)\ + $(LIB_XTESTS_DLL_R)\ + $(LIB_XTESTS_DLL_R_W)\ + $(LIB_XTESTS_MT_R)\ + $(LIB_XTESTS_MT_R_W)\ + \ + +######################################## +# Programs + +TARGETS_BITBUCKET=\ + $(TARG_BITBUCKET_MT_D)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(TARG_BITBUCKET_DLL_D)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_R)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(TARG_BITBUCKET_MT_R)\ + $(TARG_BITBUCKET_MT_R_W)\ + \ + +TARGETS_EXAMPLE=\ + $(TARG_EX_boolean_MT_D)\ + $(TARG_EX_character_MT_D)\ + $(TARG_EX_reals_MT_D)\ + $(TARG_EX_voidptrs_MT_D)\ + $(TARG_EX_ff_101_MT_D)\ + $(TARG_EX_ff_101_nons_MT_D)\ + $(TARG_EX_flush_MT_D)\ + $(TARG_EX_ins_hex_MT_D)\ + $(TARG_EX_ins_integers_MT_D)\ + $(TARG_EX_ins_reals_MT_D)\ + $(TARG_EX_ins_WLIs_MT_D)\ + $(TARG_EX_itr_fmt_MT_D)\ + $(TARG_EX_ovld2_filt_MT_D)\ + $(TARG_EX_ovld2_ins_MT_D)\ + $(TARG_EX_ovld2_sas_MT_D)\ + $(TARG_EX_ovld3_fpcol_MT_D)\ + $(TARG_EX_ovld3_tabs_MT_D)\ + $(TARG_EX_ovld3_svrlg_MT_D)\ + $(TARG_EX_ovld3_rcins_MT_D)\ + $(TARG_EX_pad_hash_MT_D)\ + $(TARG_EX_sink_cstr_MT_D)\ + $(TARG_EX_sink_ODS_MT_D)\ + $(TARG_EX_boolean_MT_D_W)\ + $(TARG_EX_character_MT_D_W)\ + $(TARG_EX_reals_MT_D_W)\ + $(TARG_EX_voidptrs_MT_D_W)\ + $(TARG_EX_itr_fmt_MT_D_W)\ + $(TARG_EX_sink_ODS_MT_D_W)\ + $(TARG_EX_boolean_DLL_D)\ + $(TARG_EX_character_DLL_D)\ + $(TARG_EX_reals_DLL_D)\ + $(TARG_EX_voidptrs_DLL_D)\ + $(TARG_EX_ff_101_DLL_D)\ + $(TARG_EX_ff_101_nons_DLL_D)\ + $(TARG_EX_flush_DLL_D)\ + $(TARG_EX_ins_hex_DLL_D)\ + $(TARG_EX_ins_integers_DLL_D)\ + $(TARG_EX_ins_reals_DLL_D)\ + $(TARG_EX_ins_WLIs_DLL_D)\ + $(TARG_EX_itr_fmt_DLL_D)\ + $(TARG_EX_ovld2_filt_DLL_D)\ + $(TARG_EX_ovld2_ins_DLL_D)\ + $(TARG_EX_ovld2_sas_DLL_D)\ + $(TARG_EX_ovld3_fpcol_DLL_D)\ + $(TARG_EX_ovld3_tabs_DLL_D)\ + $(TARG_EX_ovld3_svrlg_DLL_D)\ + $(TARG_EX_ovld3_rcins_DLL_D)\ + $(TARG_EX_pad_hash_DLL_D)\ + $(TARG_EX_sink_cstr_DLL_D)\ + $(TARG_EX_sink_ODS_DLL_D)\ + $(TARG_EX_boolean_DLL_D_W)\ + $(TARG_EX_character_DLL_D_W)\ + $(TARG_EX_reals_DLL_D_W)\ + $(TARG_EX_voidptrs_DLL_D_W)\ + $(TARG_EX_itr_fmt_DLL_D_W)\ + $(TARG_EX_sink_ODS_DLL_D_W)\ + $(TARG_EX_boolean_DLL_R)\ + $(TARG_EX_character_DLL_R)\ + $(TARG_EX_reals_DLL_R)\ + $(TARG_EX_voidptrs_DLL_R)\ + $(TARG_EX_ff_101_DLL_R)\ + $(TARG_EX_ff_101_nons_DLL_R)\ + $(TARG_EX_flush_DLL_R)\ + $(TARG_EX_ins_hex_DLL_R)\ + $(TARG_EX_ins_integers_DLL_R)\ + $(TARG_EX_ins_reals_DLL_R)\ + $(TARG_EX_ins_WLIs_DLL_R)\ + $(TARG_EX_itr_fmt_DLL_R)\ + $(TARG_EX_ovld2_filt_DLL_R)\ + $(TARG_EX_ovld2_ins_DLL_R)\ + $(TARG_EX_ovld2_sas_DLL_R)\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_pad_hash_DLL_R)\ + $(TARG_EX_sink_cstr_DLL_R)\ + $(TARG_EX_sink_ODS_DLL_R)\ + $(TARG_EX_boolean_DLL_R_W)\ + $(TARG_EX_character_DLL_R_W)\ + $(TARG_EX_reals_DLL_R_W)\ + $(TARG_EX_voidptrs_DLL_R_W)\ + $(TARG_EX_itr_fmt_DLL_R_W)\ + $(TARG_EX_sink_ODS_DLL_R_W)\ + $(TARG_EX_boolean_MT_R)\ + $(TARG_EX_character_MT_R)\ + $(TARG_EX_reals_MT_R)\ + $(TARG_EX_voidptrs_MT_R)\ + $(TARG_EX_ff_101_MT_R)\ + $(TARG_EX_ff_101_nons_MT_R)\ + $(TARG_EX_flush_MT_R)\ + $(TARG_EX_ins_hex_MT_R)\ + $(TARG_EX_ins_integers_MT_R)\ + $(TARG_EX_ins_reals_MT_R)\ + $(TARG_EX_ins_WLIs_MT_R)\ + $(TARG_EX_itr_fmt_MT_R)\ + $(TARG_EX_ovld2_filt_MT_R)\ + $(TARG_EX_ovld2_ins_MT_R)\ + $(TARG_EX_ovld2_sas_MT_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + $(TARG_EX_pad_hash_MT_R)\ + $(TARG_EX_sink_cstr_MT_R)\ + $(TARG_EX_sink_ODS_MT_R)\ + $(TARG_EX_boolean_MT_R_W)\ + $(TARG_EX_character_MT_R_W)\ + $(TARG_EX_reals_MT_R_W)\ + $(TARG_EX_voidptrs_MT_R_W)\ + $(TARG_EX_itr_fmt_MT_R_W)\ + $(TARG_EX_sink_ODS_MT_R_W)\ + \ + +TARGETS_EXAMPLE_OVERLOAD_3=\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + \ + +TARGETS_SAMPLE=\ + \ + +TARGETS_TEST_COMPONENT=\ + $(TARG_TEST_COMP_file_MT_D)\ + $(TARG_TEST_COMP_fmt_sink_MT_D)\ + $(TARG_TEST_COMP_ins_hex_MT_D)\ + $(TARG_TEST_COMP_ins_int_MT_D)\ + $(TARG_TEST_COMP_ins_real_MT_D)\ + $(TARG_TEST_COMP_itr_fmt_MT_D)\ + $(TARG_TEST_COMP_null_sink_MT_D)\ + $(TARG_TEST_COMP_snk_a_b_MT_D)\ + $(TARG_TEST_COMP_write_sink_MT_D)\ + $(TARG_TEST_COMP_x_1_MT_D)\ + $(TARG_TEST_COMP_snk_ostm_MT_D)\ + $(TARG_TEST_COMP_file_MT_D_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_D_W)\ + $(TARG_TEST_COMP_ins_hex_MT_D_W)\ + $(TARG_TEST_COMP_ins_int_MT_D_W)\ + $(TARG_TEST_COMP_ins_real_MT_D_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_D_W)\ + $(TARG_TEST_COMP_null_sink_MT_D_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_D_W)\ + $(TARG_TEST_COMP_write_sink_MT_D_W)\ + $(TARG_TEST_COMP_x_1_MT_D_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_D_W)\ + $(TARG_TEST_COMP_file_DLL_D)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D)\ + $(TARG_TEST_COMP_ins_hex_DLL_D)\ + $(TARG_TEST_COMP_ins_int_DLL_D)\ + $(TARG_TEST_COMP_ins_real_DLL_D)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D)\ + $(TARG_TEST_COMP_null_sink_DLL_D)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D)\ + $(TARG_TEST_COMP_write_sink_DLL_D)\ + $(TARG_TEST_COMP_x_1_DLL_D)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D)\ + $(TARG_TEST_COMP_file_DLL_D_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_D_W)\ + $(TARG_TEST_COMP_ins_int_DLL_D_W)\ + $(TARG_TEST_COMP_ins_real_DLL_D_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D_W)\ + $(TARG_TEST_COMP_null_sink_DLL_D_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D_W)\ + $(TARG_TEST_COMP_write_sink_DLL_D_W)\ + $(TARG_TEST_COMP_x_1_DLL_D_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D_W)\ + $(TARG_TEST_COMP_file_DLL_R)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R)\ + $(TARG_TEST_COMP_ins_hex_DLL_R)\ + $(TARG_TEST_COMP_ins_int_DLL_R)\ + $(TARG_TEST_COMP_ins_real_DLL_R)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R)\ + $(TARG_TEST_COMP_null_sink_DLL_R)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R)\ + $(TARG_TEST_COMP_write_sink_DLL_R)\ + $(TARG_TEST_COMP_x_1_DLL_R)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R)\ + $(TARG_TEST_COMP_file_DLL_R_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_R_W)\ + $(TARG_TEST_COMP_ins_int_DLL_R_W)\ + $(TARG_TEST_COMP_ins_real_DLL_R_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R_W)\ + $(TARG_TEST_COMP_null_sink_DLL_R_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R_W)\ + $(TARG_TEST_COMP_write_sink_DLL_R_W)\ + $(TARG_TEST_COMP_x_1_DLL_R_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R_W)\ + $(TARG_TEST_COMP_file_MT_R)\ + $(TARG_TEST_COMP_fmt_sink_MT_R)\ + $(TARG_TEST_COMP_ins_hex_MT_R)\ + $(TARG_TEST_COMP_ins_int_MT_R)\ + $(TARG_TEST_COMP_ins_real_MT_R)\ + $(TARG_TEST_COMP_itr_fmt_MT_R)\ + $(TARG_TEST_COMP_null_sink_MT_R)\ + $(TARG_TEST_COMP_snk_a_b_MT_R)\ + $(TARG_TEST_COMP_write_sink_MT_R)\ + $(TARG_TEST_COMP_x_1_MT_R)\ + $(TARG_TEST_COMP_snk_ostm_MT_R)\ + $(TARG_TEST_COMP_file_MT_R_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_R_W)\ + $(TARG_TEST_COMP_ins_hex_MT_R_W)\ + $(TARG_TEST_COMP_ins_int_MT_R_W)\ + $(TARG_TEST_COMP_ins_real_MT_R_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_R_W)\ + $(TARG_TEST_COMP_null_sink_MT_R_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_R_W)\ + $(TARG_TEST_COMP_write_sink_MT_R_W)\ + $(TARG_TEST_COMP_x_1_MT_R_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +TARGETS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +TARGETS_TEST_PERFORMANCE_BOOST=\ + $(TARG_TEST_PERF_scen8_MT_D)\ + $(TARG_TEST_PERF_scen8_DLL_D)\ + $(TARG_TEST_PERF_scen8_DLL_R)\ + $(TARG_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +TARGETS_TEST_PERFORMANCE=\ + $(TARG_TEST_PERF_scen1_MT_D)\ + $(TARG_TEST_PERF_scen2_MT_D)\ + $(TARG_TEST_PERF_scen3_MT_D)\ + $(TARG_TEST_PERF_scen4_MT_D)\ + $(TARG_TEST_PERF_scen5_MT_D)\ + $(TARG_TEST_PERF_scen6_MT_D)\ + $(TARG_TEST_PERF_scen7_MT_D)\ + $(TARG_TEST_PERF_scen1_DLL_D)\ + $(TARG_TEST_PERF_scen2_DLL_D)\ + $(TARG_TEST_PERF_scen3_DLL_D)\ + $(TARG_TEST_PERF_scen4_DLL_D)\ + $(TARG_TEST_PERF_scen5_DLL_D)\ + $(TARG_TEST_PERF_scen6_DLL_D)\ + $(TARG_TEST_PERF_scen7_DLL_D)\ + $(TARG_TEST_PERF_scen1_DLL_R)\ + $(TARG_TEST_PERF_scen2_DLL_R)\ + $(TARG_TEST_PERF_scen3_DLL_R)\ + $(TARG_TEST_PERF_scen4_DLL_R)\ + $(TARG_TEST_PERF_scen5_DLL_R)\ + $(TARG_TEST_PERF_scen6_DLL_R)\ + $(TARG_TEST_PERF_scen7_DLL_R)\ + $(TARG_TEST_PERF_scen1_MT_R)\ + $(TARG_TEST_PERF_scen2_MT_R)\ + $(TARG_TEST_PERF_scen3_MT_R)\ + $(TARG_TEST_PERF_scen4_MT_R)\ + $(TARG_TEST_PERF_scen5_MT_R)\ + $(TARG_TEST_PERF_scen6_MT_R)\ + $(TARG_TEST_PERF_scen7_MT_R)\ + $(TARGETS_TEST_PERFORMANCE_BOOST)\ + \ + +TARGETS_TEST_SCRATCH=\ + $(TARG_TEST_SCRT_file_MT_D)\ + $(TARG_TEST_SCRT_speech_MT_D)\ + $(TARG_TEST_SCRT_file_MT_D_W)\ + $(TARG_TEST_SCRT_speech_MT_D_W)\ + $(TARG_TEST_SCRT_file_DLL_D)\ + $(TARG_TEST_SCRT_speech_DLL_D)\ + $(TARG_TEST_SCRT_file_DLL_D_W)\ + $(TARG_TEST_SCRT_speech_DLL_D_W)\ + $(TARG_TEST_SCRT_file_DLL_R)\ + $(TARG_TEST_SCRT_speech_DLL_R)\ + $(TARG_TEST_SCRT_file_DLL_R_W)\ + $(TARG_TEST_SCRT_speech_DLL_R_W)\ + $(TARG_TEST_SCRT_file_MT_R)\ + $(TARG_TEST_SCRT_speech_MT_R)\ + $(TARG_TEST_SCRT_file_MT_R_W)\ + $(TARG_TEST_SCRT_speech_MT_R_W)\ + \ + +TARGETS_TEST_UNIT=\ + $(TARG_TEST_UNIT_api_acsllen_MT_D)\ + $(TARG_TEST_UNIT_api_crlf_MT_D)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D)\ + $(TARG_TEST_UNIT_api_init_MT_D)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D)\ + $(TARG_TEST_UNIT_ins_ch_MT_D)\ + $(TARG_TEST_UNIT_ins_i_MT_D)\ + $(TARG_TEST_UNIT_shim_fi_MT_D)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D)\ + $(TARG_TEST_UNIT_snk_null_MT_D)\ + $(TARG_TEST_UNIT_snk_ods_MT_D)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D)\ + $(TARG_TEST_UNIT_api_acsllen_MT_D_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(TARG_TEST_UNIT_api_init_MT_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_D_W)\ + $(TARG_TEST_UNIT_ins_i_MT_D_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(TARG_TEST_UNIT_snk_null_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D)\ + $(TARG_TEST_UNIT_api_init_DLL_D)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D)\ + $(TARG_TEST_UNIT_ins_i_DLL_D)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(TARG_TEST_UNIT_snk_null_DLL_D)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_init_DLL_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_D_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R)\ + $(TARG_TEST_UNIT_api_init_DLL_R)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R)\ + $(TARG_TEST_UNIT_ins_i_DLL_R)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(TARG_TEST_UNIT_snk_null_DLL_R)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_init_DLL_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_R_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R)\ + $(TARG_TEST_UNIT_api_crlf_MT_R)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R)\ + $(TARG_TEST_UNIT_api_init_MT_R)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R)\ + $(TARG_TEST_UNIT_ins_ch_MT_R)\ + $(TARG_TEST_UNIT_ins_i_MT_R)\ + $(TARG_TEST_UNIT_shim_fi_MT_R)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R)\ + $(TARG_TEST_UNIT_snk_null_MT_R)\ + $(TARG_TEST_UNIT_snk_ods_MT_R)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(TARG_TEST_UNIT_api_init_MT_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_R_W)\ + $(TARG_TEST_UNIT_ins_i_MT_R_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(TARG_TEST_UNIT_snk_null_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] )\ + +############################################################ +# Third-party libraries + + + + + + + + + +############################################################################ +# External Targets (invokable by make) + +# primary + +_default: build + +usage: + @echo "make [usage | compile | build | test | clean] - default is 'build'" + +# infrastructure + + + +prep.files.for.os: $(PROJ_MAKEFILE_NAME)\ + \ + +prep: prep.files.for.os + + +check.lib.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + +check.bin.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_BIN_DIR)\NUL mkdir $(PROJ_BIN_DIR) + + +# compile targets + +compile.libs.core: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_CORE) + +compile.libs.loki.safefmt: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_LOKI_SFMT) + +compile.libs.shwild: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SHWILD) + +compile.libs.xtests: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_XTESTS) + +compile.libs: compile.libs.core compile.libs.loki.safefmt compile.libs.shwild compile.libs.xtests + +compile.bitbucket: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_BITBUCKET) + +compile.examples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_EXAMPLE) + +compile.samples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SAMPLE) + +compile.test.component: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_COMPONENT) + +compile.test.performance: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_PERFORMANCE) + +compile.test.scratch: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_SCRATCH) + +compile.test.unit: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_UNIT) + +compile.test: compile.test.unit compile.test.component + +compile.test.all: compile.test compile.test.scratch compile.test.performance + +compile: compile.libs compile.test compile.examples compile.samples + +compile.all: compile compile.test.all + + + +# build targets + +build.libs.core: check.lib.dir prep.files.for.os $(TARGETS_LIB_CORE) + +build.libs.loki.safefmt: check.lib.dir prep.files.for.os $(TARGETS_LIB_LOKI_SFMT) + +build.libs.shwild: check.lib.dir prep.files.for.os $(TARGETS_LIB_SHWILD) + +build.libs.xtests: check.lib.dir prep.files.for.os $(TARGETS_LIB_XTESTS) + +build.libs: build.libs.core build.libs.loki.safefmt build.libs.shwild build.libs.xtests + +build.bitbucket: check.bin.dir prep.files.for.os $(TARGETS_BITBUCKET) + +build.examples: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE) + +build.examples.overload.3: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE_OVERLOAD_3) + +build.samples: check.bin.dir prep.files.for.os $(TARGETS_SAMPLE) + +build.test.component: check.bin.dir prep.files.for.os $(TARGETS_TEST_COMPONENT) + +build.test.performance: check.bin.dir prep.files.for.os $(TARGETS_TEST_PERFORMANCE) + +build.test.scratch: check.bin.dir prep.files.for.os $(TARGETS_TEST_SCRATCH) + +build.test.unit: check.bin.dir prep.files.for.os $(TARGETS_TEST_UNIT) + +build.test: build.test.unit build.test.component build.test.performance + +build.test.all: build.test build.test.scratch + +build: build.libs build.examples build.samples build.test + +build.all: build build.test.all + + + +# test targets + +test.component_: + @echo Running Test:Component programs ... + $(TARG_TEST_COMP_file_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.performance_: + @echo Running Test:Performance programs ... + $(TARG_TEST_PERF_scen1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_DLL_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_DLL_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + $(TARG_TEST_PERF_scen1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_MT_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_MT_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + +test.scratch_: + @echo Running Test:Scratch programs ... + $(TARG_TEST_SCRT_file_MT_D) + $(TARG_TEST_SCRT_speech_MT_D) + $(TARG_TEST_SCRT_file_MT_D_W) + $(TARG_TEST_SCRT_speech_MT_D_W) + $(TARG_TEST_SCRT_file_DLL_D) + $(TARG_TEST_SCRT_speech_DLL_D) + $(TARG_TEST_SCRT_file_DLL_D_W) + $(TARG_TEST_SCRT_speech_DLL_D_W) + $(TARG_TEST_SCRT_file_DLL_R) + $(TARG_TEST_SCRT_speech_DLL_R) + $(TARG_TEST_SCRT_file_DLL_R_W) + $(TARG_TEST_SCRT_speech_DLL_R_W) + $(TARG_TEST_SCRT_file_MT_R) + $(TARG_TEST_SCRT_speech_MT_R) + $(TARG_TEST_SCRT_file_MT_R_W) + $(TARG_TEST_SCRT_speech_MT_R_W) + +test.unit_: + @echo Running Test:Unit programs ... + $(TARG_TEST_UNIT_api_acsllen_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] ) --verbosity=$(TEST_VERBOSITY) + +test.examples_: + @echo Running Example programs ... + $(TARG_EX_boolean_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_integers_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_reals_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_WLIs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld2_filt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_tabs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_svrlg_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_rcins_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_pad_hash_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_cstr_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_ODS_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D_W) + $(TARG_EX_sink_ODS_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_integers_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_WLIs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld2_filt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_tabs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_svrlg_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_rcins_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_pad_hash_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_cstr_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_ODS_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D_W) + $(TARG_EX_sink_ODS_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_integers_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_WLIs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld2_filt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_pad_hash_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_cstr_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_ODS_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R_W) + $(TARG_EX_sink_ODS_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_integers_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_reals_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_WLIs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld2_filt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_pad_hash_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_cstr_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_ODS_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R_W) + $(TARG_EX_sink_ODS_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.examples.overload.3_: + @echo Running Overload 3 Example programs ... + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + +test.component: build.test.component test.component_ + +test.performance: build.test.performance test.performance_ + +test.scratch: build.test.scratch test.scratch_ + +test.unit: build.test.unit test.unit_ + +test.examples: build.examples test.examples_ + +test.examples.overload.3: build.examples.overload.3 test.examples.overload.3_ + +test: test.unit test.component + +test.all: build.all test test.performance test.scratch test.examples +test-all: test.all + + + +# clean targets + +clean.libs.core: + @echo cleaning Core libraries ... + @-if exist core.*.obj $(RM) core.*.obj + @-if exist core.*.pdb $(RM) core.*.pdb + @-if exist core.*.manifest $(RM) core.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.loki.safefmt: + @echo cleaning Loki.SafeFormat libraries ... + @-if exist loki.safefmt.*.obj $(RM) loki.safefmt.*.obj + @-if exist loki.safefmt.*.pdb $(RM) loki.safefmt.*.pdb + @-if exist loki.safefmt.*.manifest $(RM) loki.safefmt.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.shwild: + @echo cleaning shwild libraries ... + @-if exist shwild.*.obj $(RM) shwild.*.obj + @-if exist shwild.*.pdb $(RM) shwild.*.pdb + @-if exist shwild.*.manifest $(RM) shwild.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.xtests: + @echo cleaning xTests libraries ... + @-if exist xtests.*.obj $(RM) xtests.*.obj + @-if exist xtests.*.pdb $(RM) xtests.*.pdb + @-if exist xtests.*.manifest $(RM) xtests.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs: clean.libs.core clean.libs.loki.safefmt clean.libs.shwild clean.libs.xtests + +clean.bitbucket: + @echo cleaning Bitbucket programs ... + @-if exist $(TARG_BITBUCKET_MT_D) $(RM) $(TARG_BITBUCKET_MT_D) + @-if exist $(OBJ_BITBUCKET_MT_D) $(RM) $(OBJ_BITBUCKET_MT_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_D_W) $(RM) $(TARG_BITBUCKET_MT_D_W) + @-if exist $(OBJ_BITBUCKET_MT_D_W) $(RM) $(OBJ_BITBUCKET_MT_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D) $(RM) $(TARG_BITBUCKET_DLL_D) + @-if exist $(OBJ_BITBUCKET_DLL_D) $(RM) $(OBJ_BITBUCKET_DLL_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D_W) $(RM) $(TARG_BITBUCKET_DLL_D_W) + @-if exist $(OBJ_BITBUCKET_DLL_D_W) $(RM) $(OBJ_BITBUCKET_DLL_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R) $(RM) $(TARG_BITBUCKET_DLL_R) + @-if exist $(OBJ_BITBUCKET_DLL_R) $(RM) $(OBJ_BITBUCKET_DLL_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R_W) $(RM) $(TARG_BITBUCKET_DLL_R_W) + @-if exist $(OBJ_BITBUCKET_DLL_R_W) $(RM) $(OBJ_BITBUCKET_DLL_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R) $(RM) $(TARG_BITBUCKET_MT_R) + @-if exist $(OBJ_BITBUCKET_MT_R) $(RM) $(OBJ_BITBUCKET_MT_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R_W) $(RM) $(TARG_BITBUCKET_MT_R_W) + @-if exist $(OBJ_BITBUCKET_MT_R_W) $(RM) $(OBJ_BITBUCKET_MT_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + +clean.examples: + @echo cleaning Example programs ... + @-if exist $(TARG_EX_boolean_MT_D) $(RM) $(TARG_EX_boolean_MT_D) + @-if exist $(OBJ_EX_boolean_MT_D) $(RM) $(OBJ_EX_boolean_MT_D) + @-if exist $(TARG_EX_character_MT_D) $(RM) $(TARG_EX_character_MT_D) + @-if exist $(OBJ_EX_character_MT_D) $(RM) $(OBJ_EX_character_MT_D) + @-if exist $(TARG_EX_reals_MT_D) $(RM) $(TARG_EX_reals_MT_D) + @-if exist $(OBJ_EX_reals_MT_D) $(RM) $(OBJ_EX_reals_MT_D) + @-if exist $(TARG_EX_voidptrs_MT_D) $(RM) $(TARG_EX_voidptrs_MT_D) + @-if exist $(OBJ_EX_voidptrs_MT_D) $(RM) $(OBJ_EX_voidptrs_MT_D) + @-if exist $(TARG_EX_ff_101_MT_D) $(RM) $(TARG_EX_ff_101_MT_D) + @-if exist $(OBJ_EX_ff_101_MT_D) $(RM) $(OBJ_EX_ff_101_MT_D) + @-if exist $(TARG_EX_ff_101_nons_MT_D) $(RM) $(TARG_EX_ff_101_nons_MT_D) + @-if exist $(OBJ_EX_ff_101_nons_MT_D) $(RM) $(OBJ_EX_ff_101_nons_MT_D) + @-if exist $(TARG_EX_flush_MT_D) $(RM) $(TARG_EX_flush_MT_D) + @-if exist $(OBJ_EX_flush_MT_D) $(RM) $(OBJ_EX_flush_MT_D) + @-if exist $(TARG_EX_ins_hex_MT_D) $(RM) $(TARG_EX_ins_hex_MT_D) + @-if exist $(OBJ_EX_ins_hex_MT_D) $(RM) $(OBJ_EX_ins_hex_MT_D) + @-if exist $(TARG_EX_ins_integers_MT_D) $(RM) $(TARG_EX_ins_integers_MT_D) + @-if exist $(OBJ_EX_ins_integers_MT_D) $(RM) $(OBJ_EX_ins_integers_MT_D) + @-if exist $(TARG_EX_ins_WLIs_MT_D) $(RM) $(TARG_EX_ins_WLIs_MT_D) + @-if exist $(OBJ_EX_ins_WLIs_MT_D) $(RM) $(OBJ_EX_ins_WLIs_MT_D) + @-if exist $(TARG_EX_ins_reals_MT_D) $(RM) $(TARG_EX_ins_reals_MT_D) + @-if exist $(OBJ_EX_ins_reals_MT_D) $(RM) $(OBJ_EX_ins_reals_MT_D) + @-if exist $(TARG_EX_itr_fmt_MT_D) $(RM) $(TARG_EX_itr_fmt_MT_D) + @-if exist $(OBJ_EX_itr_fmt_MT_D) $(RM) $(OBJ_EX_itr_fmt_MT_D) + @-if exist $(TARG_EX_ovld2_filt_MT_D) $(RM) $(TARG_EX_ovld2_filt_MT_D) + @-if exist $(OBJ_EX_ovld2_filt_MT_D) $(RM) $(OBJ_EX_ovld2_filt_MT_D) + @-if exist $(TARG_EX_ovld2_ins_MT_D) $(RM) $(TARG_EX_ovld2_ins_MT_D) + @-if exist $(OBJ_EX_ovld2_ins_MT_D) $(RM) $(OBJ_EX_ovld2_ins_MT_D) + @-if exist $(TARG_EX_ovld2_sas_MT_D) $(RM) $(TARG_EX_ovld2_sas_MT_D) + @-if exist $(OBJ_EX_ovld2_sas_MT_D) $(RM) $(OBJ_EX_ovld2_sas_MT_D) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D) $(RM) $(TARG_EX_ovld3_fpcol_MT_D) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D) + @-if exist $(TARG_EX_ovld3_tabs_MT_D) $(RM) $(TARG_EX_ovld3_tabs_MT_D) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D) $(RM) $(OBJ_EX_ovld3_tabs_MT_D) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D) $(RM) $(TARG_EX_ovld3_svrlg_MT_D) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D) + @-if exist $(TARG_EX_ovld3_rcins_MT_D) $(RM) $(TARG_EX_ovld3_rcins_MT_D) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D) $(RM) $(OBJ_EX_ovld3_rcins_MT_D) + @-if exist $(TARG_EX_pad_hash_MT_D) $(RM) $(TARG_EX_pad_hash_MT_D) + @-if exist $(OBJ_EX_pad_hash_MT_D) $(RM) $(OBJ_EX_pad_hash_MT_D) + @-if exist $(TARG_EX_sink_cstr_MT_D) $(RM) $(TARG_EX_sink_cstr_MT_D) + @-if exist $(OBJ_EX_sink_cstr_MT_D) $(RM) $(OBJ_EX_sink_cstr_MT_D) + @-if exist $(TARG_EX_sink_ODS_MT_D) $(RM) $(TARG_EX_sink_ODS_MT_D) + @-if exist $(OBJ_EX_sink_ODS_MT_D) $(RM) $(OBJ_EX_sink_ODS_MT_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_D_W) $(RM) $(TARG_EX_boolean_MT_D_W) + @-if exist $(OBJ_EX_boolean_MT_D_W) $(RM) $(OBJ_EX_boolean_MT_D_W) + @-if exist $(TARG_EX_character_MT_D_W) $(RM) $(TARG_EX_character_MT_D_W) + @-if exist $(OBJ_EX_character_MT_D_W) $(RM) $(OBJ_EX_character_MT_D_W) + @-if exist $(TARG_EX_reals_MT_D_W) $(RM) $(TARG_EX_reals_MT_D_W) + @-if exist $(OBJ_EX_reals_MT_D_W) $(RM) $(OBJ_EX_reals_MT_D_W) + @-if exist $(TARG_EX_voidptrs_MT_D_W) $(RM) $(TARG_EX_voidptrs_MT_D_W) + @-if exist $(OBJ_EX_voidptrs_MT_D_W) $(RM) $(OBJ_EX_voidptrs_MT_D_W) + @-if exist $(TARG_EX_ff_101_MT_D_W) $(RM) $(TARG_EX_ff_101_MT_D_W) + @-if exist $(OBJ_EX_ff_101_MT_D_W) $(RM) $(OBJ_EX_ff_101_MT_D_W) + @-if exist $(TARG_EX_ff_101_nons_MT_D_W) $(RM) $(TARG_EX_ff_101_nons_MT_D_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_D_W) $(RM) $(OBJ_EX_ff_101_nons_MT_D_W) + @-if exist $(TARG_EX_flush_MT_D_W) $(RM) $(TARG_EX_flush_MT_D_W) + @-if exist $(OBJ_EX_flush_MT_D_W) $(RM) $(OBJ_EX_flush_MT_D_W) + @-if exist $(TARG_EX_ins_hex_MT_D_W) $(RM) $(TARG_EX_ins_hex_MT_D_W) + @-if exist $(OBJ_EX_ins_hex_MT_D_W) $(RM) $(OBJ_EX_ins_hex_MT_D_W) + @-if exist $(TARG_EX_ins_integers_MT_D_W) $(RM) $(TARG_EX_ins_integers_MT_D_W) + @-if exist $(OBJ_EX_ins_integers_MT_D_W) $(RM) $(OBJ_EX_ins_integers_MT_D_W) + @-if exist $(TARG_EX_ins_WLIs_MT_D_W) $(RM) $(TARG_EX_ins_WLIs_MT_D_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_D_W) $(RM) $(OBJ_EX_ins_WLIs_MT_D_W) + @-if exist $(TARG_EX_ins_reals_MT_D_W) $(RM) $(TARG_EX_ins_reals_MT_D_W) + @-if exist $(OBJ_EX_ins_reals_MT_D_W) $(RM) $(OBJ_EX_ins_reals_MT_D_W) + @-if exist $(TARG_EX_itr_fmt_MT_D_W) $(RM) $(TARG_EX_itr_fmt_MT_D_W) + @-if exist $(OBJ_EX_itr_fmt_MT_D_W) $(RM) $(OBJ_EX_itr_fmt_MT_D_W) + @-if exist $(TARG_EX_ovld2_filt_MT_D_W) $(RM) $(TARG_EX_ovld2_filt_MT_D_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_D_W) $(RM) $(OBJ_EX_ovld2_filt_MT_D_W) + @-if exist $(TARG_EX_ovld2_ins_MT_D_W) $(RM) $(TARG_EX_ovld2_ins_MT_D_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_D_W) $(RM) $(OBJ_EX_ovld2_ins_MT_D_W) + @-if exist $(TARG_EX_ovld2_sas_MT_D_W) $(RM) $(TARG_EX_ovld2_sas_MT_D_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_D_W) $(RM) $(OBJ_EX_ovld2_sas_MT_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_D_W) $(RM) $(TARG_EX_ovld3_tabs_MT_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_D_W) $(RM) $(TARG_EX_ovld3_rcins_MT_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_D_W) + @-if exist $(TARG_EX_pad_hash_MT_D_W) $(RM) $(TARG_EX_pad_hash_MT_D_W) + @-if exist $(OBJ_EX_pad_hash_MT_D_W) $(RM) $(OBJ_EX_pad_hash_MT_D_W) + @-if exist $(TARG_EX_sink_cstr_MT_D_W) $(RM) $(TARG_EX_sink_cstr_MT_D_W) + @-if exist $(OBJ_EX_sink_cstr_MT_D_W) $(RM) $(OBJ_EX_sink_cstr_MT_D_W) + @-if exist $(TARG_EX_sink_ODS_MT_D_W) $(RM) $(TARG_EX_sink_ODS_MT_D_W) + @-if exist $(OBJ_EX_sink_ODS_MT_D_W) $(RM) $(OBJ_EX_sink_ODS_MT_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D) $(RM) $(TARG_EX_boolean_DLL_D) + @-if exist $(OBJ_EX_boolean_DLL_D) $(RM) $(OBJ_EX_boolean_DLL_D) + @-if exist $(TARG_EX_character_DLL_D) $(RM) $(TARG_EX_character_DLL_D) + @-if exist $(OBJ_EX_character_DLL_D) $(RM) $(OBJ_EX_character_DLL_D) + @-if exist $(TARG_EX_reals_DLL_D) $(RM) $(TARG_EX_reals_DLL_D) + @-if exist $(OBJ_EX_reals_DLL_D) $(RM) $(OBJ_EX_reals_DLL_D) + @-if exist $(TARG_EX_voidptrs_DLL_D) $(RM) $(TARG_EX_voidptrs_DLL_D) + @-if exist $(OBJ_EX_voidptrs_DLL_D) $(RM) $(OBJ_EX_voidptrs_DLL_D) + @-if exist $(TARG_EX_ff_101_DLL_D) $(RM) $(TARG_EX_ff_101_DLL_D) + @-if exist $(OBJ_EX_ff_101_DLL_D) $(RM) $(OBJ_EX_ff_101_DLL_D) + @-if exist $(TARG_EX_ff_101_nons_DLL_D) $(RM) $(TARG_EX_ff_101_nons_DLL_D) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D) $(RM) $(OBJ_EX_ff_101_nons_DLL_D) + @-if exist $(TARG_EX_flush_DLL_D) $(RM) $(TARG_EX_flush_DLL_D) + @-if exist $(OBJ_EX_flush_DLL_D) $(RM) $(OBJ_EX_flush_DLL_D) + @-if exist $(TARG_EX_ins_hex_DLL_D) $(RM) $(TARG_EX_ins_hex_DLL_D) + @-if exist $(OBJ_EX_ins_hex_DLL_D) $(RM) $(OBJ_EX_ins_hex_DLL_D) + @-if exist $(TARG_EX_ins_integers_DLL_D) $(RM) $(TARG_EX_ins_integers_DLL_D) + @-if exist $(OBJ_EX_ins_integers_DLL_D) $(RM) $(OBJ_EX_ins_integers_DLL_D) + @-if exist $(TARG_EX_ins_WLIs_DLL_D) $(RM) $(TARG_EX_ins_WLIs_DLL_D) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D) $(RM) $(OBJ_EX_ins_WLIs_DLL_D) + @-if exist $(TARG_EX_ins_reals_DLL_D) $(RM) $(TARG_EX_ins_reals_DLL_D) + @-if exist $(OBJ_EX_ins_reals_DLL_D) $(RM) $(OBJ_EX_ins_reals_DLL_D) + @-if exist $(TARG_EX_itr_fmt_DLL_D) $(RM) $(TARG_EX_itr_fmt_DLL_D) + @-if exist $(OBJ_EX_itr_fmt_DLL_D) $(RM) $(OBJ_EX_itr_fmt_DLL_D) + @-if exist $(TARG_EX_ovld2_filt_DLL_D) $(RM) $(TARG_EX_ovld2_filt_DLL_D) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D) $(RM) $(OBJ_EX_ovld2_filt_DLL_D) + @-if exist $(TARG_EX_ovld2_ins_DLL_D) $(RM) $(TARG_EX_ovld2_ins_DLL_D) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D) $(RM) $(OBJ_EX_ovld2_ins_DLL_D) + @-if exist $(TARG_EX_ovld2_sas_DLL_D) $(RM) $(TARG_EX_ovld2_sas_DLL_D) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D) $(RM) $(OBJ_EX_ovld2_sas_DLL_D) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D) $(RM) $(TARG_EX_ovld3_tabs_DLL_D) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D) $(RM) $(TARG_EX_ovld3_rcins_DLL_D) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D) + @-if exist $(TARG_EX_pad_hash_DLL_D) $(RM) $(TARG_EX_pad_hash_DLL_D) + @-if exist $(OBJ_EX_pad_hash_DLL_D) $(RM) $(OBJ_EX_pad_hash_DLL_D) + @-if exist $(TARG_EX_sink_cstr_DLL_D) $(RM) $(TARG_EX_sink_cstr_DLL_D) + @-if exist $(OBJ_EX_sink_cstr_DLL_D) $(RM) $(OBJ_EX_sink_cstr_DLL_D) + @-if exist $(TARG_EX_sink_ODS_DLL_D) $(RM) $(TARG_EX_sink_ODS_DLL_D) + @-if exist $(OBJ_EX_sink_ODS_DLL_D) $(RM) $(OBJ_EX_sink_ODS_DLL_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D_W) $(RM) $(TARG_EX_boolean_DLL_D_W) + @-if exist $(OBJ_EX_boolean_DLL_D_W) $(RM) $(OBJ_EX_boolean_DLL_D_W) + @-if exist $(TARG_EX_character_DLL_D_W) $(RM) $(TARG_EX_character_DLL_D_W) + @-if exist $(OBJ_EX_character_DLL_D_W) $(RM) $(OBJ_EX_character_DLL_D_W) + @-if exist $(TARG_EX_reals_DLL_D_W) $(RM) $(TARG_EX_reals_DLL_D_W) + @-if exist $(OBJ_EX_reals_DLL_D_W) $(RM) $(OBJ_EX_reals_DLL_D_W) + @-if exist $(TARG_EX_voidptrs_DLL_D_W) $(RM) $(TARG_EX_voidptrs_DLL_D_W) + @-if exist $(OBJ_EX_voidptrs_DLL_D_W) $(RM) $(OBJ_EX_voidptrs_DLL_D_W) + @-if exist $(TARG_EX_ff_101_DLL_D_W) $(RM) $(TARG_EX_ff_101_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_DLL_D_W) $(RM) $(OBJ_EX_ff_101_DLL_D_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_D_W) $(RM) $(TARG_EX_ff_101_nons_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_D_W) + @-if exist $(TARG_EX_flush_DLL_D_W) $(RM) $(TARG_EX_flush_DLL_D_W) + @-if exist $(OBJ_EX_flush_DLL_D_W) $(RM) $(OBJ_EX_flush_DLL_D_W) + @-if exist $(TARG_EX_ins_hex_DLL_D_W) $(RM) $(TARG_EX_ins_hex_DLL_D_W) + @-if exist $(OBJ_EX_ins_hex_DLL_D_W) $(RM) $(OBJ_EX_ins_hex_DLL_D_W) + @-if exist $(TARG_EX_ins_integers_DLL_D_W) $(RM) $(TARG_EX_ins_integers_DLL_D_W) + @-if exist $(OBJ_EX_ins_integers_DLL_D_W) $(RM) $(OBJ_EX_ins_integers_DLL_D_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_D_W) $(RM) $(TARG_EX_ins_WLIs_DLL_D_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_D_W) + @-if exist $(TARG_EX_ins_reals_DLL_D_W) $(RM) $(TARG_EX_ins_reals_DLL_D_W) + @-if exist $(OBJ_EX_ins_reals_DLL_D_W) $(RM) $(OBJ_EX_ins_reals_DLL_D_W) + @-if exist $(TARG_EX_itr_fmt_DLL_D_W) $(RM) $(TARG_EX_itr_fmt_DLL_D_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_D_W) $(RM) $(OBJ_EX_itr_fmt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_D_W) $(RM) $(TARG_EX_ovld2_filt_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_D_W) $(RM) $(TARG_EX_ovld2_ins_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_D_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_D_W) $(RM) $(TARG_EX_ovld2_sas_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D_W) + @-if exist $(TARG_EX_pad_hash_DLL_D_W) $(RM) $(TARG_EX_pad_hash_DLL_D_W) + @-if exist $(OBJ_EX_pad_hash_DLL_D_W) $(RM) $(OBJ_EX_pad_hash_DLL_D_W) + @-if exist $(TARG_EX_sink_cstr_DLL_D_W) $(RM) $(TARG_EX_sink_cstr_DLL_D_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_D_W) $(RM) $(OBJ_EX_sink_cstr_DLL_D_W) + @-if exist $(TARG_EX_sink_ODS_DLL_D_W) $(RM) $(TARG_EX_sink_ODS_DLL_D_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_D_W) $(RM) $(OBJ_EX_sink_ODS_DLL_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R) $(RM) $(TARG_EX_boolean_DLL_R) + @-if exist $(OBJ_EX_boolean_DLL_R) $(RM) $(OBJ_EX_boolean_DLL_R) + @-if exist $(TARG_EX_character_DLL_R) $(RM) $(TARG_EX_character_DLL_R) + @-if exist $(OBJ_EX_character_DLL_R) $(RM) $(OBJ_EX_character_DLL_R) + @-if exist $(TARG_EX_reals_DLL_R) $(RM) $(TARG_EX_reals_DLL_R) + @-if exist $(OBJ_EX_reals_DLL_R) $(RM) $(OBJ_EX_reals_DLL_R) + @-if exist $(TARG_EX_voidptrs_DLL_R) $(RM) $(TARG_EX_voidptrs_DLL_R) + @-if exist $(OBJ_EX_voidptrs_DLL_R) $(RM) $(OBJ_EX_voidptrs_DLL_R) + @-if exist $(TARG_EX_ff_101_DLL_R) $(RM) $(TARG_EX_ff_101_DLL_R) + @-if exist $(OBJ_EX_ff_101_DLL_R) $(RM) $(OBJ_EX_ff_101_DLL_R) + @-if exist $(TARG_EX_ff_101_nons_DLL_R) $(RM) $(TARG_EX_ff_101_nons_DLL_R) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R) $(RM) $(OBJ_EX_ff_101_nons_DLL_R) + @-if exist $(TARG_EX_flush_DLL_R) $(RM) $(TARG_EX_flush_DLL_R) + @-if exist $(OBJ_EX_flush_DLL_R) $(RM) $(OBJ_EX_flush_DLL_R) + @-if exist $(TARG_EX_ins_hex_DLL_R) $(RM) $(TARG_EX_ins_hex_DLL_R) + @-if exist $(OBJ_EX_ins_hex_DLL_R) $(RM) $(OBJ_EX_ins_hex_DLL_R) + @-if exist $(TARG_EX_ins_integers_DLL_R) $(RM) $(TARG_EX_ins_integers_DLL_R) + @-if exist $(OBJ_EX_ins_integers_DLL_R) $(RM) $(OBJ_EX_ins_integers_DLL_R) + @-if exist $(TARG_EX_ins_WLIs_DLL_R) $(RM) $(TARG_EX_ins_WLIs_DLL_R) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R) $(RM) $(OBJ_EX_ins_WLIs_DLL_R) + @-if exist $(TARG_EX_ins_reals_DLL_R) $(RM) $(TARG_EX_ins_reals_DLL_R) + @-if exist $(OBJ_EX_ins_reals_DLL_R) $(RM) $(OBJ_EX_ins_reals_DLL_R) + @-if exist $(TARG_EX_itr_fmt_DLL_R) $(RM) $(TARG_EX_itr_fmt_DLL_R) + @-if exist $(OBJ_EX_itr_fmt_DLL_R) $(RM) $(OBJ_EX_itr_fmt_DLL_R) + @-if exist $(TARG_EX_ovld2_filt_DLL_R) $(RM) $(TARG_EX_ovld2_filt_DLL_R) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R) $(RM) $(OBJ_EX_ovld2_filt_DLL_R) + @-if exist $(TARG_EX_ovld2_ins_DLL_R) $(RM) $(TARG_EX_ovld2_ins_DLL_R) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R) $(RM) $(OBJ_EX_ovld2_ins_DLL_R) + @-if exist $(TARG_EX_ovld2_sas_DLL_R) $(RM) $(TARG_EX_ovld2_sas_DLL_R) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R) $(RM) $(OBJ_EX_ovld2_sas_DLL_R) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R) $(RM) $(TARG_EX_ovld3_tabs_DLL_R) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R) $(RM) $(TARG_EX_ovld3_rcins_DLL_R) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R) + @-if exist $(TARG_EX_pad_hash_DLL_R) $(RM) $(TARG_EX_pad_hash_DLL_R) + @-if exist $(OBJ_EX_pad_hash_DLL_R) $(RM) $(OBJ_EX_pad_hash_DLL_R) + @-if exist $(TARG_EX_sink_cstr_DLL_R) $(RM) $(TARG_EX_sink_cstr_DLL_R) + @-if exist $(OBJ_EX_sink_cstr_DLL_R) $(RM) $(OBJ_EX_sink_cstr_DLL_R) + @-if exist $(TARG_EX_sink_ODS_DLL_R) $(RM) $(TARG_EX_sink_ODS_DLL_R) + @-if exist $(OBJ_EX_sink_ODS_DLL_R) $(RM) $(OBJ_EX_sink_ODS_DLL_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R_W) $(RM) $(TARG_EX_boolean_DLL_R_W) + @-if exist $(OBJ_EX_boolean_DLL_R_W) $(RM) $(OBJ_EX_boolean_DLL_R_W) + @-if exist $(TARG_EX_character_DLL_R_W) $(RM) $(TARG_EX_character_DLL_R_W) + @-if exist $(OBJ_EX_character_DLL_R_W) $(RM) $(OBJ_EX_character_DLL_R_W) + @-if exist $(TARG_EX_reals_DLL_R_W) $(RM) $(TARG_EX_reals_DLL_R_W) + @-if exist $(OBJ_EX_reals_DLL_R_W) $(RM) $(OBJ_EX_reals_DLL_R_W) + @-if exist $(TARG_EX_voidptrs_DLL_R_W) $(RM) $(TARG_EX_voidptrs_DLL_R_W) + @-if exist $(OBJ_EX_voidptrs_DLL_R_W) $(RM) $(OBJ_EX_voidptrs_DLL_R_W) + @-if exist $(TARG_EX_ff_101_DLL_R_W) $(RM) $(TARG_EX_ff_101_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_DLL_R_W) $(RM) $(OBJ_EX_ff_101_DLL_R_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_R_W) $(RM) $(TARG_EX_ff_101_nons_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_R_W) + @-if exist $(TARG_EX_flush_DLL_R_W) $(RM) $(TARG_EX_flush_DLL_R_W) + @-if exist $(OBJ_EX_flush_DLL_R_W) $(RM) $(OBJ_EX_flush_DLL_R_W) + @-if exist $(TARG_EX_ins_hex_DLL_R_W) $(RM) $(TARG_EX_ins_hex_DLL_R_W) + @-if exist $(OBJ_EX_ins_hex_DLL_R_W) $(RM) $(OBJ_EX_ins_hex_DLL_R_W) + @-if exist $(TARG_EX_ins_integers_DLL_R_W) $(RM) $(TARG_EX_ins_integers_DLL_R_W) + @-if exist $(OBJ_EX_ins_integers_DLL_R_W) $(RM) $(OBJ_EX_ins_integers_DLL_R_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_R_W) $(RM) $(TARG_EX_ins_WLIs_DLL_R_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_R_W) + @-if exist $(TARG_EX_ins_reals_DLL_R_W) $(RM) $(TARG_EX_ins_reals_DLL_R_W) + @-if exist $(OBJ_EX_ins_reals_DLL_R_W) $(RM) $(OBJ_EX_ins_reals_DLL_R_W) + @-if exist $(TARG_EX_itr_fmt_DLL_R_W) $(RM) $(TARG_EX_itr_fmt_DLL_R_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_R_W) $(RM) $(OBJ_EX_itr_fmt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_R_W) $(RM) $(TARG_EX_ovld2_filt_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_R_W) $(RM) $(TARG_EX_ovld2_ins_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_R_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_R_W) $(RM) $(TARG_EX_ovld2_sas_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R_W) + @-if exist $(TARG_EX_pad_hash_DLL_R_W) $(RM) $(TARG_EX_pad_hash_DLL_R_W) + @-if exist $(OBJ_EX_pad_hash_DLL_R_W) $(RM) $(OBJ_EX_pad_hash_DLL_R_W) + @-if exist $(TARG_EX_sink_cstr_DLL_R_W) $(RM) $(TARG_EX_sink_cstr_DLL_R_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_R_W) $(RM) $(OBJ_EX_sink_cstr_DLL_R_W) + @-if exist $(TARG_EX_sink_ODS_DLL_R_W) $(RM) $(TARG_EX_sink_ODS_DLL_R_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_R_W) $(RM) $(OBJ_EX_sink_ODS_DLL_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R) $(RM) $(TARG_EX_boolean_MT_R) + @-if exist $(OBJ_EX_boolean_MT_R) $(RM) $(OBJ_EX_boolean_MT_R) + @-if exist $(TARG_EX_character_MT_R) $(RM) $(TARG_EX_character_MT_R) + @-if exist $(OBJ_EX_character_MT_R) $(RM) $(OBJ_EX_character_MT_R) + @-if exist $(TARG_EX_reals_MT_R) $(RM) $(TARG_EX_reals_MT_R) + @-if exist $(OBJ_EX_reals_MT_R) $(RM) $(OBJ_EX_reals_MT_R) + @-if exist $(TARG_EX_voidptrs_MT_R) $(RM) $(TARG_EX_voidptrs_MT_R) + @-if exist $(OBJ_EX_voidptrs_MT_R) $(RM) $(OBJ_EX_voidptrs_MT_R) + @-if exist $(TARG_EX_ff_101_MT_R) $(RM) $(TARG_EX_ff_101_MT_R) + @-if exist $(OBJ_EX_ff_101_MT_R) $(RM) $(OBJ_EX_ff_101_MT_R) + @-if exist $(TARG_EX_ff_101_nons_MT_R) $(RM) $(TARG_EX_ff_101_nons_MT_R) + @-if exist $(OBJ_EX_ff_101_nons_MT_R) $(RM) $(OBJ_EX_ff_101_nons_MT_R) + @-if exist $(TARG_EX_flush_MT_R) $(RM) $(TARG_EX_flush_MT_R) + @-if exist $(OBJ_EX_flush_MT_R) $(RM) $(OBJ_EX_flush_MT_R) + @-if exist $(TARG_EX_ins_hex_MT_R) $(RM) $(TARG_EX_ins_hex_MT_R) + @-if exist $(OBJ_EX_ins_hex_MT_R) $(RM) $(OBJ_EX_ins_hex_MT_R) + @-if exist $(TARG_EX_ins_integers_MT_R) $(RM) $(TARG_EX_ins_integers_MT_R) + @-if exist $(OBJ_EX_ins_integers_MT_R) $(RM) $(OBJ_EX_ins_integers_MT_R) + @-if exist $(TARG_EX_ins_WLIs_MT_R) $(RM) $(TARG_EX_ins_WLIs_MT_R) + @-if exist $(OBJ_EX_ins_WLIs_MT_R) $(RM) $(OBJ_EX_ins_WLIs_MT_R) + @-if exist $(TARG_EX_ins_reals_MT_R) $(RM) $(TARG_EX_ins_reals_MT_R) + @-if exist $(OBJ_EX_ins_reals_MT_R) $(RM) $(OBJ_EX_ins_reals_MT_R) + @-if exist $(TARG_EX_itr_fmt_MT_R) $(RM) $(TARG_EX_itr_fmt_MT_R) + @-if exist $(OBJ_EX_itr_fmt_MT_R) $(RM) $(OBJ_EX_itr_fmt_MT_R) + @-if exist $(TARG_EX_ovld2_filt_MT_R) $(RM) $(TARG_EX_ovld2_filt_MT_R) + @-if exist $(OBJ_EX_ovld2_filt_MT_R) $(RM) $(OBJ_EX_ovld2_filt_MT_R) + @-if exist $(TARG_EX_ovld2_ins_MT_R) $(RM) $(TARG_EX_ovld2_ins_MT_R) + @-if exist $(OBJ_EX_ovld2_ins_MT_R) $(RM) $(OBJ_EX_ovld2_ins_MT_R) + @-if exist $(TARG_EX_ovld2_sas_MT_R) $(RM) $(TARG_EX_ovld2_sas_MT_R) + @-if exist $(OBJ_EX_ovld2_sas_MT_R) $(RM) $(OBJ_EX_ovld2_sas_MT_R) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R) $(RM) $(TARG_EX_ovld3_fpcol_MT_R) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R) + @-if exist $(TARG_EX_ovld3_tabs_MT_R) $(RM) $(TARG_EX_ovld3_tabs_MT_R) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R) $(RM) $(OBJ_EX_ovld3_tabs_MT_R) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R) $(RM) $(TARG_EX_ovld3_svrlg_MT_R) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R) + @-if exist $(TARG_EX_ovld3_rcins_MT_R) $(RM) $(TARG_EX_ovld3_rcins_MT_R) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R) $(RM) $(OBJ_EX_ovld3_rcins_MT_R) + @-if exist $(TARG_EX_pad_hash_MT_R) $(RM) $(TARG_EX_pad_hash_MT_R) + @-if exist $(OBJ_EX_pad_hash_MT_R) $(RM) $(OBJ_EX_pad_hash_MT_R) + @-if exist $(TARG_EX_sink_cstr_MT_R) $(RM) $(TARG_EX_sink_cstr_MT_R) + @-if exist $(OBJ_EX_sink_cstr_MT_R) $(RM) $(OBJ_EX_sink_cstr_MT_R) + @-if exist $(TARG_EX_sink_ODS_MT_R) $(RM) $(TARG_EX_sink_ODS_MT_R) + @-if exist $(OBJ_EX_sink_ODS_MT_R) $(RM) $(OBJ_EX_sink_ODS_MT_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R_W) $(RM) $(TARG_EX_boolean_MT_R_W) + @-if exist $(OBJ_EX_boolean_MT_R_W) $(RM) $(OBJ_EX_boolean_MT_R_W) + @-if exist $(TARG_EX_character_MT_R_W) $(RM) $(TARG_EX_character_MT_R_W) + @-if exist $(OBJ_EX_character_MT_R_W) $(RM) $(OBJ_EX_character_MT_R_W) + @-if exist $(TARG_EX_reals_MT_R_W) $(RM) $(TARG_EX_reals_MT_R_W) + @-if exist $(OBJ_EX_reals_MT_R_W) $(RM) $(OBJ_EX_reals_MT_R_W) + @-if exist $(TARG_EX_voidptrs_MT_R_W) $(RM) $(TARG_EX_voidptrs_MT_R_W) + @-if exist $(OBJ_EX_voidptrs_MT_R_W) $(RM) $(OBJ_EX_voidptrs_MT_R_W) + @-if exist $(TARG_EX_ff_101_MT_R_W) $(RM) $(TARG_EX_ff_101_MT_R_W) + @-if exist $(OBJ_EX_ff_101_MT_R_W) $(RM) $(OBJ_EX_ff_101_MT_R_W) + @-if exist $(TARG_EX_ff_101_nons_MT_R_W) $(RM) $(TARG_EX_ff_101_nons_MT_R_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_R_W) $(RM) $(OBJ_EX_ff_101_nons_MT_R_W) + @-if exist $(TARG_EX_flush_MT_R_W) $(RM) $(TARG_EX_flush_MT_R_W) + @-if exist $(OBJ_EX_flush_MT_R_W) $(RM) $(OBJ_EX_flush_MT_R_W) + @-if exist $(TARG_EX_ins_hex_MT_R_W) $(RM) $(TARG_EX_ins_hex_MT_R_W) + @-if exist $(OBJ_EX_ins_hex_MT_R_W) $(RM) $(OBJ_EX_ins_hex_MT_R_W) + @-if exist $(TARG_EX_ins_integers_MT_R_W) $(RM) $(TARG_EX_ins_integers_MT_R_W) + @-if exist $(OBJ_EX_ins_integers_MT_R_W) $(RM) $(OBJ_EX_ins_integers_MT_R_W) + @-if exist $(TARG_EX_ins_WLIs_MT_R_W) $(RM) $(TARG_EX_ins_WLIs_MT_R_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_R_W) $(RM) $(OBJ_EX_ins_WLIs_MT_R_W) + @-if exist $(TARG_EX_ins_reals_MT_R_W) $(RM) $(TARG_EX_ins_reals_MT_R_W) + @-if exist $(OBJ_EX_ins_reals_MT_R_W) $(RM) $(OBJ_EX_ins_reals_MT_R_W) + @-if exist $(TARG_EX_itr_fmt_MT_R_W) $(RM) $(TARG_EX_itr_fmt_MT_R_W) + @-if exist $(OBJ_EX_itr_fmt_MT_R_W) $(RM) $(OBJ_EX_itr_fmt_MT_R_W) + @-if exist $(TARG_EX_ovld2_filt_MT_R_W) $(RM) $(TARG_EX_ovld2_filt_MT_R_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_R_W) $(RM) $(OBJ_EX_ovld2_filt_MT_R_W) + @-if exist $(TARG_EX_ovld2_ins_MT_R_W) $(RM) $(TARG_EX_ovld2_ins_MT_R_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_R_W) $(RM) $(OBJ_EX_ovld2_ins_MT_R_W) + @-if exist $(TARG_EX_ovld2_sas_MT_R_W) $(RM) $(TARG_EX_ovld2_sas_MT_R_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_R_W) $(RM) $(OBJ_EX_ovld2_sas_MT_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_R_W) $(RM) $(TARG_EX_ovld3_tabs_MT_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_R_W) $(RM) $(TARG_EX_ovld3_rcins_MT_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_R_W) + @-if exist $(TARG_EX_pad_hash_MT_R_W) $(RM) $(TARG_EX_pad_hash_MT_R_W) + @-if exist $(OBJ_EX_pad_hash_MT_R_W) $(RM) $(OBJ_EX_pad_hash_MT_R_W) + @-if exist $(TARG_EX_sink_cstr_MT_R_W) $(RM) $(TARG_EX_sink_cstr_MT_R_W) + @-if exist $(OBJ_EX_sink_cstr_MT_R_W) $(RM) $(OBJ_EX_sink_cstr_MT_R_W) + @-if exist $(TARG_EX_sink_ODS_MT_R_W) $(RM) $(TARG_EX_sink_ODS_MT_R_W) + @-if exist $(OBJ_EX_sink_ODS_MT_R_W) $(RM) $(OBJ_EX_sink_ODS_MT_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + +clean.samples: + @echo cleaning Sample programs ... + +clean.test.component: + @echo cleaning Test::Component files ... + @-if exist $(TARG_TEST_COMP_file_MT_D) $(RM) $(TARG_TEST_COMP_file_MT_D) + @-if exist $(OBJ_TEST_COMP_file_MT_D) $(RM) $(OBJ_TEST_COMP_file_MT_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D) $(RM) $(TARG_TEST_COMP_ins_int_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D) $(RM) $(TARG_TEST_COMP_null_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D) $(RM) $(TARG_TEST_COMP_write_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D) + @-if exist $(TARG_TEST_COMP_x_1_MT_D) $(RM) $(TARG_TEST_COMP_x_1_MT_D) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D) $(RM) $(OBJ_TEST_COMP_x_1_MT_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_D_W) $(RM) $(TARG_TEST_COMP_file_MT_D_W) + @-if exist $(OBJ_TEST_COMP_file_MT_D_W) $(RM) $(OBJ_TEST_COMP_file_MT_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_D_W) $(RM) $(TARG_TEST_COMP_x_1_MT_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D) $(RM) $(TARG_TEST_COMP_file_DLL_D) + @-if exist $(OBJ_TEST_COMP_file_DLL_D) $(RM) $(OBJ_TEST_COMP_file_DLL_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D) $(RM) $(TARG_TEST_COMP_x_1_DLL_D) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D_W) $(RM) $(TARG_TEST_COMP_file_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_D_W) $(RM) $(OBJ_TEST_COMP_file_DLL_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R) $(RM) $(TARG_TEST_COMP_file_DLL_R) + @-if exist $(OBJ_TEST_COMP_file_DLL_R) $(RM) $(OBJ_TEST_COMP_file_DLL_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R) $(RM) $(TARG_TEST_COMP_x_1_DLL_R) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R_W) $(RM) $(TARG_TEST_COMP_file_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_R_W) $(RM) $(OBJ_TEST_COMP_file_DLL_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R) $(RM) $(TARG_TEST_COMP_file_MT_R) + @-if exist $(OBJ_TEST_COMP_file_MT_R) $(RM) $(OBJ_TEST_COMP_file_MT_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R) $(RM) $(TARG_TEST_COMP_ins_int_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R) $(RM) $(TARG_TEST_COMP_null_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R) $(RM) $(TARG_TEST_COMP_write_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R) + @-if exist $(TARG_TEST_COMP_x_1_MT_R) $(RM) $(TARG_TEST_COMP_x_1_MT_R) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R) $(RM) $(OBJ_TEST_COMP_x_1_MT_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R_W) $(RM) $(TARG_TEST_COMP_file_MT_R_W) + @-if exist $(OBJ_TEST_COMP_file_MT_R_W) $(RM) $(OBJ_TEST_COMP_file_MT_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_R_W) $(RM) $(TARG_TEST_COMP_x_1_MT_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + +clean.test.performance: + @echo cleaning Test::Performance files ... + @-if exist $(TARG_TEST_PERF_scen1_MT_D) $(RM) $(TARG_TEST_PERF_scen1_MT_D) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D) $(RM) $(OBJ_TEST_PERF_scen1_MT_D) + @-if exist $(TARG_TEST_PERF_scen2_MT_D) $(RM) $(TARG_TEST_PERF_scen2_MT_D) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D) $(RM) $(OBJ_TEST_PERF_scen2_MT_D) + @-if exist $(TARG_TEST_PERF_scen3_MT_D) $(RM) $(TARG_TEST_PERF_scen3_MT_D) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D) $(RM) $(OBJ_TEST_PERF_scen3_MT_D) + @-if exist $(TARG_TEST_PERF_scen4_MT_D) $(RM) $(TARG_TEST_PERF_scen4_MT_D) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D) $(RM) $(OBJ_TEST_PERF_scen4_MT_D) + @-if exist $(TARG_TEST_PERF_scen5_MT_D) $(RM) $(TARG_TEST_PERF_scen5_MT_D) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D) $(RM) $(OBJ_TEST_PERF_scen5_MT_D) + @-if exist $(TARG_TEST_PERF_scen6_MT_D) $(RM) $(TARG_TEST_PERF_scen6_MT_D) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D) $(RM) $(OBJ_TEST_PERF_scen6_MT_D) + @-if exist $(TARG_TEST_PERF_scen7_MT_D) $(RM) $(TARG_TEST_PERF_scen7_MT_D) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D) $(RM) $(OBJ_TEST_PERF_scen7_MT_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D) $(RM) $(TARG_TEST_PERF_scen8_MT_D) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D) $(RM) $(OBJ_TEST_PERF_scen8_MT_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_D_W) $(RM) $(TARG_TEST_PERF_scen1_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_D_W) $(RM) $(TARG_TEST_PERF_scen2_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_D_W) $(RM) $(TARG_TEST_PERF_scen3_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_D_W) $(RM) $(TARG_TEST_PERF_scen4_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_D_W) $(RM) $(TARG_TEST_PERF_scen5_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_D_W) $(RM) $(TARG_TEST_PERF_scen6_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_D_W) $(RM) $(TARG_TEST_PERF_scen7_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D_W) $(RM) $(TARG_TEST_PERF_scen8_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D) $(RM) $(TARG_TEST_PERF_scen1_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D) $(RM) $(TARG_TEST_PERF_scen2_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D) $(RM) $(TARG_TEST_PERF_scen3_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D) $(RM) $(TARG_TEST_PERF_scen4_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D) $(RM) $(TARG_TEST_PERF_scen5_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D) $(RM) $(TARG_TEST_PERF_scen6_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D) $(RM) $(TARG_TEST_PERF_scen7_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D) $(RM) $(TARG_TEST_PERF_scen8_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R) $(RM) $(TARG_TEST_PERF_scen1_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R) $(RM) $(TARG_TEST_PERF_scen2_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R) $(RM) $(TARG_TEST_PERF_scen3_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R) $(RM) $(TARG_TEST_PERF_scen4_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R) $(RM) $(TARG_TEST_PERF_scen5_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R) $(RM) $(TARG_TEST_PERF_scen6_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R) $(RM) $(TARG_TEST_PERF_scen7_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R) $(RM) $(TARG_TEST_PERF_scen8_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R) $(RM) $(TARG_TEST_PERF_scen1_MT_R) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R) $(RM) $(OBJ_TEST_PERF_scen1_MT_R) + @-if exist $(TARG_TEST_PERF_scen2_MT_R) $(RM) $(TARG_TEST_PERF_scen2_MT_R) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R) $(RM) $(OBJ_TEST_PERF_scen2_MT_R) + @-if exist $(TARG_TEST_PERF_scen3_MT_R) $(RM) $(TARG_TEST_PERF_scen3_MT_R) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R) $(RM) $(OBJ_TEST_PERF_scen3_MT_R) + @-if exist $(TARG_TEST_PERF_scen4_MT_R) $(RM) $(TARG_TEST_PERF_scen4_MT_R) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R) $(RM) $(OBJ_TEST_PERF_scen4_MT_R) + @-if exist $(TARG_TEST_PERF_scen5_MT_R) $(RM) $(TARG_TEST_PERF_scen5_MT_R) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R) $(RM) $(OBJ_TEST_PERF_scen5_MT_R) + @-if exist $(TARG_TEST_PERF_scen6_MT_R) $(RM) $(TARG_TEST_PERF_scen6_MT_R) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R) $(RM) $(OBJ_TEST_PERF_scen6_MT_R) + @-if exist $(TARG_TEST_PERF_scen7_MT_R) $(RM) $(TARG_TEST_PERF_scen7_MT_R) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R) $(RM) $(OBJ_TEST_PERF_scen7_MT_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R) $(RM) $(TARG_TEST_PERF_scen8_MT_R) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R) $(RM) $(OBJ_TEST_PERF_scen8_MT_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R_W) $(RM) $(TARG_TEST_PERF_scen1_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_R_W) $(RM) $(TARG_TEST_PERF_scen2_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_R_W) $(RM) $(TARG_TEST_PERF_scen3_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_R_W) $(RM) $(TARG_TEST_PERF_scen4_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_R_W) $(RM) $(TARG_TEST_PERF_scen5_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_R_W) $(RM) $(TARG_TEST_PERF_scen6_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_R_W) $(RM) $(TARG_TEST_PERF_scen7_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R_W) $(RM) $(TARG_TEST_PERF_scen8_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + +clean.test.scratch: + @echo cleaning Test::Scratch files ... + @-if exist $(TARG_TEST_SCRT_file_MT_D) $(RM) $(TARG_TEST_SCRT_file_MT_D) + @-if exist $(OBJ_TEST_SCRT_file_MT_D) $(RM) $(OBJ_TEST_SCRT_file_MT_D) + @-if exist $(PDB_TEST_SCRT_file_MT_D) $(RM) $(PDB_TEST_SCRT_file_MT_D) + @-if exist $(TARG_TEST_SCRT_speech_MT_D) $(RM) $(TARG_TEST_SCRT_speech_MT_D) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D) $(RM) $(OBJ_TEST_SCRT_speech_MT_D) + @-if exist $(PDB_TEST_SCRT_speech_MT_D) $(RM) $(PDB_TEST_SCRT_speech_MT_D) + @-if exist $(TARG_TEST_SCRT_file_MT_D_W) $(RM) $(TARG_TEST_SCRT_file_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_D_W) $(RM) $(OBJ_TEST_SCRT_file_MT_D_W) + @-if exist $(PDB_TEST_SCRT_file_MT_D_W) $(RM) $(PDB_TEST_SCRT_file_MT_D_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_D_W) $(RM) $(TARG_TEST_SCRT_speech_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_D_W) + @-if exist $(PDB_TEST_SCRT_speech_MT_D_W) $(RM) $(PDB_TEST_SCRT_speech_MT_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_D) $(RM) $(TARG_TEST_SCRT_file_DLL_D) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D) $(RM) $(OBJ_TEST_SCRT_file_DLL_D) + @-if exist $(PDB_TEST_SCRT_file_DLL_D) $(RM) $(PDB_TEST_SCRT_file_DLL_D) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D) $(RM) $(TARG_TEST_SCRT_speech_DLL_D) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D) $(RM) $(PDB_TEST_SCRT_speech_DLL_D) + @-if exist $(TARG_TEST_SCRT_file_DLL_D_W) $(RM) $(TARG_TEST_SCRT_file_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_file_DLL_D_W) $(RM) $(PDB_TEST_SCRT_file_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D_W) $(RM) $(PDB_TEST_SCRT_speech_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_R) $(RM) $(TARG_TEST_SCRT_file_DLL_R) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R) $(RM) $(OBJ_TEST_SCRT_file_DLL_R) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R) $(RM) $(TARG_TEST_SCRT_speech_DLL_R) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R) + @-if exist $(TARG_TEST_SCRT_file_DLL_R_W) $(RM) $(TARG_TEST_SCRT_file_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_file_MT_R) $(RM) $(TARG_TEST_SCRT_file_MT_R) + @-if exist $(OBJ_TEST_SCRT_file_MT_R) $(RM) $(OBJ_TEST_SCRT_file_MT_R) + @-if exist $(TARG_TEST_SCRT_speech_MT_R) $(RM) $(TARG_TEST_SCRT_speech_MT_R) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R) $(RM) $(OBJ_TEST_SCRT_speech_MT_R) + @-if exist $(TARG_TEST_SCRT_file_MT_R_W) $(RM) $(TARG_TEST_SCRT_file_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_R_W) $(RM) $(OBJ_TEST_SCRT_file_MT_R_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_R_W) $(RM) $(TARG_TEST_SCRT_speech_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_R_W) + +clean.test.unit: + @echo cleaning Test::Unit files ... + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D) $(RM) $(TARG_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R) $(RM) $(TARG_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + +clean.test: clean.test.unit clean.test.component clean.test.scratch clean.test.performance + +clean: clean.libs clean.bitbucket clean.examples clean.samples clean.test + +clean.all: clean + + +############################################################################ +# build targets + +################################################## +# Libraries + +######################################## +# Main library - 'fastformat.X.core.a' + +$(LIB_CORE_MT_D): $(OBJ_CORE_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D) + +$(OBJ_cr_api_MT_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_D_W): $(OBJ_CORE_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D_W) + +$(OBJ_cr_api_MT_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D): $(OBJ_CORE_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D) + +$(OBJ_cr_api_DLL_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D_W): $(OBJ_CORE_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D_W) + +$(OBJ_cr_api_DLL_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R): $(OBJ_CORE_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R) + +$(OBJ_cr_api_DLL_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R_W): $(OBJ_CORE_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R_W) + +$(OBJ_cr_api_DLL_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R): $(OBJ_CORE_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R) + +$(OBJ_cr_api_MT_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R_W): $(OBJ_CORE_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R_W) + +$(OBJ_cr_api_MT_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +######################################## +# Loki.SafeFormat library - 'loki.safefmt.X.core.a' + +!ifdef LOKI + +$(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp: $(PROJ_MAKEFILE_NAME) + @-if not exist $(LOKI_SFMT_SRC_DIR)\NUL mkdir $(LOKI_SFMT_SRC_DIR) + echo #include "../src/SafeFormat.cpp" > $@ + +$(LIB_LOKI_SFMT_MT_D): $(OBJ_LOKI_SFMT_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D) + +$(OBJ_lok_sfmt_MT_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_LOKI_SFMT_INCLUDES_MT_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_D_W): $(OBJ_LOKI_SFMT_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D_W) + +$(OBJ_lok_sfmt_MT_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D): $(OBJ_LOKI_SFMT_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D) + +$(OBJ_lok_sfmt_DLL_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D_W): $(OBJ_LOKI_SFMT_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D_W) + +$(OBJ_lok_sfmt_DLL_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R): $(OBJ_LOKI_SFMT_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R) + +$(OBJ_lok_sfmt_DLL_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R_W): $(OBJ_LOKI_SFMT_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R_W) + +$(OBJ_lok_sfmt_DLL_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R): $(OBJ_LOKI_SFMT_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R) + +$(OBJ_lok_sfmt_MT_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_LOKI_SFMT_INCLUDES_MT_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R_W): $(OBJ_LOKI_SFMT_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R_W) + +$(OBJ_lok_sfmt_MT_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +!endif # LOKI +######################################## +# shwild library - 'shwild.X.core.a' + +$(LIB_SHWILD_MT_D): $(OBJ_SHWILD_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D) + +$(OBJ_shw_api_MT_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_D_W): $(OBJ_SHWILD_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D_W) + +$(OBJ_shw_api_MT_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D): $(OBJ_SHWILD_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D) + +$(OBJ_shw_api_DLL_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D_W): $(OBJ_SHWILD_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D_W) + +$(OBJ_shw_api_DLL_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R): $(OBJ_SHWILD_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R) + +$(OBJ_shw_api_DLL_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R_W): $(OBJ_SHWILD_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R_W) + +$(OBJ_shw_api_DLL_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R): $(OBJ_SHWILD_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R) + +$(OBJ_shw_api_MT_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R_W): $(OBJ_SHWILD_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R_W) + +$(OBJ_shw_api_MT_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +######################################## +# xTests library - 'xtests.X.ff.core.a' + +$(LIB_XTESTS_MT_D): $(OBJ_XTESTS_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D) + +$(OBJ_xts_core_MT_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_XTESTS_INCLUDES_MT_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_D_W): $(OBJ_XTESTS_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D_W) + +$(OBJ_xts_core_MT_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_XTESTS_INCLUDES_MT_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D): $(OBJ_XTESTS_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D) + +$(OBJ_xts_core_DLL_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_XTESTS_INCLUDES_DLL_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D_W): $(OBJ_XTESTS_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D_W) + +$(OBJ_xts_core_DLL_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_XTESTS_INCLUDES_DLL_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R): $(OBJ_XTESTS_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R) + +$(OBJ_xts_core_DLL_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_XTESTS_INCLUDES_DLL_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R_W): $(OBJ_XTESTS_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R_W) + +$(OBJ_xts_core_DLL_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_XTESTS_INCLUDES_DLL_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R): $(OBJ_XTESTS_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R) + +$(OBJ_xts_core_MT_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_XTESTS_INCLUDES_MT_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R_W): $(OBJ_XTESTS_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R_W) + +$(OBJ_xts_core_MT_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_XTESTS_INCLUDES_MT_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +################################################## +# Examples: BitBucket + +$(BITBUCKET_SRC_DIR)\bitbucket.cpp: #$(PROJ_MAKEFILE_NAME) + @-if not exist $(BITBUCKET_SRC_DIR)\NUL mkdir $(BITBUCKET_SRC_DIR) + echo #include "stdio.h" > $@ + echo int main(int, char**) { int ch; for(; EOF != (ch = getchar());) { ; } return 0; } >> $@ + +$(TARG_BITBUCKET_MT_D): $(OBJ_BITBUCKET_MT_D) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_BITBUCKET_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_BITBUCKET_MT_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_D_W): $(OBJ_BITBUCKET_MT_D_W) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_BITBUCKET_MT_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D): $(OBJ_BITBUCKET_DLL_D) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_BITBUCKET_DLL_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D_W): $(OBJ_BITBUCKET_DLL_D_W) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_BITBUCKET_DLL_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R): $(OBJ_BITBUCKET_DLL_R) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_BITBUCKET_DLL_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R_W): $(OBJ_BITBUCKET_DLL_R_W) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_BITBUCKET_DLL_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R): $(OBJ_BITBUCKET_MT_R) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_BITBUCKET_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_BITBUCKET_MT_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R_W): $(OBJ_BITBUCKET_MT_R_W) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_BITBUCKET_MT_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + + +################################################## +# Examples + +$(TARG_EX_boolean_MT_D): \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_boolean_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_D_W): \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_boolean_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D): \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_boolean_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D_W): \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_boolean_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R): \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_boolean_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R_W): \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_boolean_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R): \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_boolean_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R_W): \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_boolean_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_character_MT_D): \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_character_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_D_W): \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_character_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D): \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_character_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D_W): \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_character_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R): \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_character_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R_W): \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_character_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R): \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_character_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R_W): \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_character_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_reals_MT_D): \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_D_W): \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_reals_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D): \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D_W): \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_reals_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R): \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R_W): \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_reals_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R): \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R_W): \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_reals_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_voidptrs_MT_D): \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_voidptrs_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_D_W): \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_voidptrs_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D): \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_voidptrs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D_W): \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_voidptrs_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R): \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_voidptrs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R_W): \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_voidptrs_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R): \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_voidptrs_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R_W): \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_voidptrs_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_ff_101_MT_D): \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_D): \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_R): \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_MT_R): \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_nons_MT_D): \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_nons_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_D): \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_nons_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_R): \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_nons_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_MT_R): \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_nons_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_flush_MT_D): \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_flush_MT_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_D): \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_flush_DLL_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_R): \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_flush_DLL_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_MT_R): \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_flush_MT_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_ins_hex_MT_D): \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_hex_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_D): \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_hex_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_R): \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_hex_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_MT_R): \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_hex_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_integers_MT_D): \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_integers_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_D): \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_integers_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_R): \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_integers_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_MT_R): \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_integers_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_reals_MT_D): \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_D): \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_R): \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_MT_R): \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + + +$(TARG_EX_ins_WLIs_MT_D): \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_WLIs_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_D): \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_WLIs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_R): \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_WLIs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_MT_R): \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_WLIs_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + + +$(TARG_EX_itr_fmt_MT_D): \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_itr_fmt_MT_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_D_W): \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_itr_fmt_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D): \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_itr_fmt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D_W): \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_itr_fmt_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R): \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_itr_fmt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R_W): \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_itr_fmt_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R): \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_itr_fmt_MT_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R_W): \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARG_BITBUCKET_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_itr_fmt_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_ovld2_filt_MT_D): \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_filt_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_D): \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_filt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_R): \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_filt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_MT_R): \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_filt_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + + +$(TARG_EX_ovld2_ins_MT_D): \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_ins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_D): \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_ins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_R): \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_ins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_MT_R): \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_ins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_sas_MT_D): \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_sas_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_D): \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_sas_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_R): \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_sas_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_MT_R): \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_sas_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld3_fpcol_MT_D): \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_fpcol_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_D): \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_fpcol_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_R): \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_fpcol_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_MT_R): \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_fpcol_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_tabs_MT_D): \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_tabs_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_D): \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_tabs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_R): \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_tabs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_MT_R): \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_tabs_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_svrlg_MT_D): \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_svrlg_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_D): \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_svrlg_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_R): \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_svrlg_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_MT_R): \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_svrlg_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_rcins_MT_D): \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_rcins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_D): \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_rcins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_R): \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_rcins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_MT_R): \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_rcins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_pad_hash_MT_D): \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_pad_hash_MT_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_D): \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_pad_hash_DLL_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_R): \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_pad_hash_DLL_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_MT_R): \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_pad_hash_MT_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_sink_cstr_MT_D): \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_cstr_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_D): \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_cstr_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_R): \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_cstr_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_MT_R): \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_cstr_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_ODS_MT_D): \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_ODS_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_D_W): \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_sink_ODS_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D): \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_ODS_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D_W): \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_sink_ODS_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R): \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_ODS_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R_W): \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_sink_ODS_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R): \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_ODS_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R_W): \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_sink_ODS_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +################################################## +# Tests + +############################## +# Component Tests + +$(TARG_TEST_COMP_snk_a_b_MT_D): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_a_b_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_a_b_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_file_MT_D): \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_file_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_D_W): \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_file_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D): \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_file_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D_W): \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_file_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R): \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_file_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R_W): \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_file_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R): \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_file_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R_W): \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_file_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_fmt_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_fmt_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_write_sink_MT_D): \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_write_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_D_W): \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_write_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D): \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_write_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_write_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R): \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_write_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_write_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R): \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_write_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R_W): \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_write_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_x_1_MT_D): \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_x_1_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_D_W): \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_x_1_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D): \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_x_1_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D_W): \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_x_1_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R): \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_x_1_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R_W): \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_x_1_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R): \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_x_1_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R_W): \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_x_1_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_null_sink_MT_D): \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_null_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_D_W): \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_null_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D): \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_null_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_null_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R): \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_null_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_null_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R): \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_null_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R_W): \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_null_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D): \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_hex_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_hex_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_hex_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_hex_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R): \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_hex_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_hex_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_int_MT_D): \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_int_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_D_W): \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_int_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D): \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_int_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_int_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R): \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_int_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_int_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R): \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_int_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R_W): \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_int_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_real_MT_D): \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_real_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_D_W): \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_real_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D): \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_real_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_real_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R): \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_real_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_real_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R): \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_real_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R_W): \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_real_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_itr_fmt_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_itr_fmt_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_ostm_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_ostm_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +############################## +# Performance Tests + +$(TARG_TEST_PERF_scen1_MT_D): \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen1_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_D_W): \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen1_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D): \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen1_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D_W): \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen1_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R): \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen1_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R_W): \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen1_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R): \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen1_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R_W): \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen1_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen2_MT_D): \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen2_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_D_W): \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen2_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D): \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen2_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D_W): \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen2_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R): \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen2_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R_W): \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen2_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R): \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen2_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R_W): \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen2_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen3_MT_D): \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen3_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_D_W): \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen3_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D): \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen3_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D_W): \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen3_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R): \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen3_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R_W): \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen3_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R): \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen3_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R_W): \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen3_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen4_MT_D): \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen4_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_D_W): \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen4_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D): \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen4_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D_W): \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen4_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R): \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen4_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R_W): \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen4_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R): \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen4_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R_W): \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen4_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen5_MT_D): \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen5_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_D_W): \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen5_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D): \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen5_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D_W): \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen5_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R): \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen5_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R_W): \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen5_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R): \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen5_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R_W): \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen5_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen6_MT_D): \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen6_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_D_W): \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen6_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D): \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen6_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D_W): \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen6_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R): \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen6_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R_W): \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen6_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R): \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen6_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R_W): \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen6_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen7_MT_D): \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen7_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_D_W): \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen7_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D): \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen7_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D_W): \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen7_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R): \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen7_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R_W): \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen7_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R): \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen7_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R_W): \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen7_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +!ifdef BOOST +$(TARG_TEST_PERF_scen8_MT_D): \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen8_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_D_W): \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen8_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D): \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen8_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D_W): \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen8_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R): \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen8_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R_W): \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen8_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R): \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen8_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R_W): \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen8_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +!endif # BOOST +############################## +# Scratch Tests + +$(TARG_TEST_SCRT_file_MT_D): \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_file_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_D_W): \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_file_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D): \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_file_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D_W): \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_file_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R): \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_file_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R_W): \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_file_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R): \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_file_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R_W): \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_file_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_speech_MT_D): \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_speech_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_D_W): \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_speech_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D): \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_speech_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D_W): \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_speech_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R): \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_speech_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R_W): \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_speech_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R): \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_speech_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R_W): \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_speech_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +############################## +# Unit Tests + + +$(TARG_TEST_UNIT_api_acsllen_MT_D): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_crlf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_crlf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_init_MT_D): \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_init_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_D_W): \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_init_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D): \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_init_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_init_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R): \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_init_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_init_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R): \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_init_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R_W): \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_init_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ods_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ods_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_ch_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_ch_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D): \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_i_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_i_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_i_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_i_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R): \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_i_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_i_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_shim_fi_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_shim_fi_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D): \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_null_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_null_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_null_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_null_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R): \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_null_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_null_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +############################### end of file ################################ diff --git a/build/vc14.unixem/makefile b/build/vc14.unixem/makefile new file mode 100644 index 0000000..1ca2910 --- /dev/null +++ b/build/vc14.unixem/makefile @@ -0,0 +1,3745 @@ +############################################################################ +# File: makefile +# +# Purpose: Makefile for the FastFormat project, for the Visual C++ 14 (x86 UNIXem) +# compiler, on UNIX +# +# Created: 22nd December 2007 +# Updated: 22nd September 2015 +# +############################################################################ + + +############################################################################ +# Environment check +# + +!ifndef STLSOFT +!error STLSOFT must be defined +!endif # !STLSOFT +!ifndef PTHREADS_WIN32 +!error PTHREADS_WIN32 must be defined +!endif # !PTHREADS_WIN32 +!ifndef UNIXEM +!error UNIXEM must be defined +!endif # !UNIXEM + +############################################################################ +# Symbols + +######################################## +# Project & compiler version + +COMP_TAG = vc14 +ARCH_TAG = +OS_TAG = .unix +PROJ_TAG = fastformat +PROJ_VER_MAJOR = 0 +PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) + +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) + +SHWILD_VER_MAJOR = 0 +SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XTESTS_VER_MAJOR = 0 +XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) + +UNIXEM_VER_MAJOR = 1 +UNIXEM_TAG_VER = unixem.$(XTESTS_VER_MAJOR) + +PROJ_MAKEFILE_NAME = makefile + +######################################## +# Testing verbosity + +TEST_VERBOSITY = 2 + +######################################## +# Directories + +PROJ_BASE_DIR = ..\.. +PROJ_BIN_DIR = $(PROJ_BASE_DIR)\bin +PROJ_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +PROJ_LIB_DIR = $(PROJ_BASE_DIR)\lib +PROJ_OBJ_DIR = . +PROJ_SRC_DIR = $(PROJ_BASE_DIR)\src +PROJ_EXAMPLES_DIR = $(PROJ_BASE_DIR)\examples +PROJ_SAMPLES_DIR = $(PROJ_BASE_DIR)\samples +PROJ_BUNDLES_DIR = $(PROJ_BASE_DIR)\test\data\bundles +PROJ_TEST_ROOT_DIR = $(PROJ_BASE_DIR)\test +PROJ_TEST_COMPONENT_DIR = $(PROJ_TEST_ROOT_DIR)\component +PROJ_TEST_PERFORMANCE_DIR = $(PROJ_TEST_ROOT_DIR)\performance +PROJ_TEST_SCRATCH_DIR = $(PROJ_TEST_ROOT_DIR)\scratch +PROJ_TEST_UNIT_DIR = $(PROJ_TEST_ROOT_DIR)\unit + +BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket + +LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt + +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR + +######################################## +# tools + +CC = cl +CPPC = cl +AR = lib +LD = link +RM = del /f +CP = copy +RC = rc + + +# Lib + +LIBS_LEADER = + +# lib paths + +ENV_LIBPATHS = +COMMON_LIBPATHS = -libpath:$(SYNESIS_DEV)/lib + + +LIBPATHS=\ +$(LIBS_LEADER)\ +-libpath:"$(PTHREADS_WIN32)\lib"\ +-libpath:"$(UNIXEM)\lib"\ +$(COMMON_LIBPATHS)\ +$(ENV_LIBPATHS)\ +\ + + +# lib names + +TARGET_LIB_MT_UX = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib ws2_32.lib pthreadVC.lib \ + \ + +TARGET_LIB_MT_UX_DEBUG = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib ws2_32.lib pthreadVC.lib \ + \ + + +# #defines + +COMMON_DEFS = -DUNIX + +CUSTOM_DEFS = + +# includes + +COMMON_INCLUDES = -I$(SYNESIS_DEV)/include -I$(SYNESIS_DEV)/libraries/include + + + +# CPPC flags + +CPPC_F_NOLOGO = -nologo +CPPC_F_COMPILE_ONLY = -c +CPPC_F_WARNING_MAX = -W4 -wd4800 +CPPC_F_WARNING_ERR = -WX +CPPC_F_EXCEPTIONS_ON = -EHsc +CPPC_F_EXCEPTIONS_OFF = -wd4530 +CPPC_F_EXCEPTIONS = $(CPPC_F_EXCEPTIONS_ON) +CPPC_F_RTTI_ON = -GR +CPPC_F_RTTI_OFF = -GR- +CPPC_F_RTTI = $(CPPC_F_RTTI_ON) +CPPC_F_MINIMUM_SIZE = -O1 +CPPC_F_MAXIMUM_SPEED = -O2 + +CPPC_FLAGS_BASE =\ + $(CPPC_F_NOLOGO)\ + $(CPPC_F_COMPILE_ONLY)\ + $(CPPC_F_WARNING_MAX)\ + $(CPPC_F_WARNING_ERR)\ + $(CPPC_F_EXCEPTIONS)\ + $(CPPC_F_RTTI)\ + \ + +CPPC_FLAGS_MT_UX =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_UX_DEBUG =\ + $(CPPC_FLAGS_BASE)\ + \ + + +# CPPC defines + +!ifdef FASTFORMAT_NO_NAG +NO_NAG_DEFS = -DFASTFORMAT_NO_VERSION_NAG=1 +!else # ? FASTFORMAT_NO_NAG +NO_NAG_DEFS = +!endif # FASTFORMAT_NO_NAG + +CPPC_DEFS_BASE =\ + $(COMMON_DEFS)\ + $(CUSTOM_DEFS)\ + $(NO_NAG_DEFS)\ + \ + +!ifdef BOOST +DEFINE_BOOST_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_BOOST +!else # BOOST +DEFINE_BOOST_FOR_PERFTEST = +!endif # BOOST + +DEFINE_LOKI_FOR_PERFTEST = + + +CPPC_DEFS_MT_UX =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_UX_DEBUG =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + + + +# CPPC includes + +!ifdef STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = -I"$(STLSOFT_1_10)/include" +!else # STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = +!endif # STLSOFT_1_10 + +CPPC_INCLUDES_BASE=\ + \ + -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ + $(STLSOFT_1_10_INCLUDE)\ + -I$(STLSOFT)\include\ + $(COMMON_INCLUDES)\ + -I"$(PTHREADS_WIN32)\include"\ + -I"$(UNIXEM)\include"\ + \ + +CPPC_CORE_INCLUDES_BASE=\ + -I$(PROJ_SRC_DIR)\ + $(CPPC_INCLUDES_BASE)\ + \ + +!ifdef BOOST +INCLUDE_BOOST_FOR_PERFTEST = -I"$(BOOST)" +!else # BOOST +INCLUDE_BOOST_FOR_PERFTEST = +!endif # BOOST + +INCLUDE_LOKI_FOR_PERFTEST = + + +CPPC_INCLUDES_MT_UX =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_UX_DEBUG =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + + + +CPPC_SHWILD_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_UX =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_UX_DEBUG =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + + +CPPC_XTESTS_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_UX =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_UX_DEBUG =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + + + +# CPPC args (= flags + defines + includes) + +CPPC_ARGS_MT_UX=\ + $(CPPC_FLAGS_MT_UX)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_UX) +CPPC_ARGS_MT_UX_DEBUG=\ + $(CPPC_FLAGS_MT_UX_DEBUG)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX_DEBUG)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_UX_DEBUG) + +CPPC_CORE_ARGS_MT_UX=\ + $(CPPC_FLAGS_MT_UX)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_UX_DEBUG=\ + $(CPPC_FLAGS_MT_UX_DEBUG)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -D_STLSOFT_FORCE_ANY_COMPILER -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_UX_DEBUG)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) + + + + +# AR Args + +AR_ARGS = -nologo + + +# LD flags + +LD_F_NOLOGO = -nologo + +LD_FLAGS_BASE =\ + $(LD_F_NOLOGO)\ + \ + +LD_FLAGS_MT_UX =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_UX_DEBUG =\ + $(LD_FLAGS_BASE)\ + \ + + +# LD includes + +LD_INCLUDES_BASE =\ + $(LIBPATHS)\ + \ + +LD_INCLUDES_MT_UX =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_UX_DEBUG =\ + $(LD_INCLUDES_BASE)\ + \ + + +LD_ARGS = + +LD_F_NOLOGO = -nologo + +# LD args + +LD_ARGS_MT_UX = libWinSysLog.$(COMP_TAG).mt.lib unixem.1.$(COMP_TAG).mt.lib -release $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_UX_DEBUG = libWinSysLog.$(COMP_TAG).mt.debug.lib unixem.1.$(COMP_TAG).mt.debug.lib -debug $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) + +############################################################################ +# Headers + +CORE_HEADERS=\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.h\ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\ff.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\implicit_link.h\ + $(PROJ_INCLUDE_DIR)\fastformat\init_codes.h\ + $(PROJ_INCLUDE_DIR)\fastformat\exceptions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\openrj_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\properties_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\winini_bundle.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\format\standard_flags.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_missing_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\mismatched_arguments_scope_base.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\integer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\real.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_e.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_f.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_g.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_i.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\internal\format_element.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\stlsoft.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\string_encoding.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\threading.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\initialiser.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\api_functions.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\helper_functions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\quality\contract.h\ + $(PROJ_INCLUDE_DIR)\fastformat\quality\cover.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\shims\action\fmt_slices\generic_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\fast_integers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\reals.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\void_pointers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ACE_CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\auto_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CComBSTR.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\char_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\c_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\FILE.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\null.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ostream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\OutputDebugString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\shim_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\speech.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\stringstream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\string_array.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\vectored_file.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.first_include.h\ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.last_include.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\util\memory\auto_buffer_selector.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\sinks\helpers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\string\snprintf.h\ + \ + +SHWILD_HEADERS=\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XTESTS_HEADERS=\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ + \ + +############################################################################ +# Names + +############################################################ +# Targets + +######################################## +# Libraries + +# fastformat +LIB_CORE_MT_UX = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.lib +LIB_CORE_MT_UX_DEBUG = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.debug.lib + +# Loki.SafeFormat - performance testing only +LIB_LOKI_SFMT_MT_UX = +LIB_LOKI_SFMT_MT_UX_DEBUG = + +# shwild - testing only +LIB_SHWILD_MT_UX = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_SHWILD_MT_UX_DEBUG = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib + +# xtests - unit/component testing only +LIB_XTESTS_MT_UX = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_XTESTS_MT_UX_DEBUG = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib + +######################################## +# Examples + +TARG_BITBUCKET_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.exe +TARG_BITBUCKET_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.debug.exe + +TARG_EX_boolean_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_character_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_reals_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_voidptrs_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_nons_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_flush_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_hex_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_integers_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_reals_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_itr_fmt_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_filt_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_ins_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_sas_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_fpcol_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_tabs_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_svrlg_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_rcins_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_pad_hash_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_cstr_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe + +TARG_EX_boolean_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_character_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_reals_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_voidptrs_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_nons_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_flush_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_hex_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_integers_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_reals_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_itr_fmt_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_filt_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_ins_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_sas_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_fpcol_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_tabs_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_svrlg_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_rcins_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_pad_hash_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_cstr_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe + + +######################################## +# Tests + +############################## +# Component Tests + +TARG_TEST_COMP_file_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_fmt_sink_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_hex_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_int_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_real_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_itr_fmt_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_null_sink_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_a_b_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_write_sink_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_x_1_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_ostm_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_file_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_int_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_real_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_null_sink_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_write_sink_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_x_1_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################## +# Performance Tests + +TARG_TEST_PERF_scen1_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen2_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen3_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen4_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen5_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen6_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen7_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen1_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen2_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen3_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen4_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen5_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen6_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen7_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################## +# Scratch Tests + +TARG_TEST_SCRT_file_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_vecfile_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.sink.vectored_file.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_file_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_vecfile_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.sink.vectored_file.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################## +# Unit Tests + +TARG_TEST_UNIT_api_acsllen_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_crlf_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_flrpl_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_init_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_ch_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_i_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_shim_fi_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_a_b_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_c_str_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_null_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ostm_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_sstm_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.exe +#TARG_TEST_UNIT_snk_vecfile_MT_UX = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.vec_file.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_init_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.debug.exe +#TARG_TEST_UNIT_snk_vecfile_MT_UX_DEBUG = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.vec_file.$(COMP_ARCH_OS_TAG).mt.debug.exe + +############################################################################ +# Objects + +######################################## +# Libraries + +# fastformat + +OBJ_cr_api_MT_UX = $(PROJ_OBJ_DIR)\core.api.mt.obj +OBJ_cr_fsdhndlrs_MT_UX = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.obj +OBJ_cr_fmtcache_MT_UX = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.obj +OBJ_cr_initstr_MT_UX = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.obj +OBJ_cr_mempool_MT_UX = $(PROJ_OBJ_DIR)\core.mempool.mt.obj +OBJ_cr_replacements_MT_UX = $(PROJ_OBJ_DIR)\core.replacements.mt.obj +OBJ_cr_snprintf_MT_UX = $(PROJ_OBJ_DIR)\core.snprintf.mt.obj + +OBJ_CORE_MT_UX = \ + $(OBJ_cr_api_MT_UX)\ + $(OBJ_cr_fsdhndlrs_MT_UX)\ + $(OBJ_cr_fmtcache_MT_UX)\ + $(OBJ_cr_initstr_MT_UX)\ + $(OBJ_cr_mempool_MT_UX)\ + $(OBJ_cr_replacements_MT_UX)\ + $(OBJ_cr_snprintf_MT_UX)\ + \ + +OBJ_cr_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.api.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.obj +OBJ_cr_fmtcache_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.obj +OBJ_cr_initstr_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.obj +OBJ_cr_mempool_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.obj +OBJ_cr_replacements_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.obj +OBJ_cr_snprintf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.obj +PDB_cr_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.api.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.pdb +PDB_cr_fmtcache_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.pdb +PDB_cr_initstr_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.pdb +PDB_cr_mempool_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.pdb +PDB_cr_replacements_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.pdb +PDB_cr_snprintf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.pdb + +OBJ_CORE_MT_UX_DEBUG = \ + $(OBJ_cr_api_MT_UX_DEBUG)\ + $(OBJ_cr_fsdhndlrs_MT_UX_DEBUG)\ + $(OBJ_cr_fmtcache_MT_UX_DEBUG)\ + $(OBJ_cr_initstr_MT_UX_DEBUG)\ + $(OBJ_cr_mempool_MT_UX_DEBUG)\ + $(OBJ_cr_replacements_MT_UX_DEBUG)\ + $(OBJ_cr_snprintf_MT_UX_DEBUG)\ + \ + +PDB_CORE_MT_UX_DEBUG = \ + $(PDB_cr_api_MT_UX_DEBUG)\ + $(PDB_cr_fsdhndlrs_MT_UX_DEBUG)\ + $(PDB_cr_fmtcache_MT_UX_DEBUG)\ + $(PDB_cr_initstr_MT_UX_DEBUG)\ + $(PDB_cr_mempool_MT_UX_DEBUG)\ + $(PDB_cr_replacements_MT_UX_DEBUG)\ + $(PDB_cr_snprintf_MT_UX_DEBUG)\ + \ + + +# Loki.SafeFormat + +OBJ_lok_sfmt_MT_UX = +PDB_lok_sfmt_MT_UX = +OBJ_LOKI_SFMT_MT_UX_PM = +PDB_LOKI_SFMT_MT_UX_PM = +OBJ_lok_sfmt_MT_UX_DEBUG = +PDB_lok_sfmt_MT_UX_DEBUG = +OBJ_LOKI_SFMT_MT_UX_DEBUG_PM = +PDB_LOKI_SFMT_MT_UX_DEBUG_PM = + +# shwild + +OBJ_shw_api_MT_UX = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.obj +OBJ_shw_matches_MT_UX = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.obj +OBJ_shw_pattern_MT_UX = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.obj + +OBJ_SHWILD_MT_UX = \ + $(OBJ_shw_api_MT_UX)\ + $(OBJ_shw_matches_MT_UX)\ + $(OBJ_shw_pattern_MT_UX)\ + \ + +OBJ_shw_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.obj +OBJ_shw_matches_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.obj +OBJ_shw_pattern_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.obj +PDB_shw_api_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.pdb +PDB_shw_matches_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.pdb +PDB_shw_pattern_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.pdb + +OBJ_SHWILD_MT_UX_DEBUG = \ + $(OBJ_shw_api_MT_UX_DEBUG)\ + $(OBJ_shw_matches_MT_UX_DEBUG)\ + $(OBJ_shw_pattern_MT_UX_DEBUG)\ + \ + +PDB_SHWILD_MT_UX_DEBUG = \ + $(PDB_shw_api_MT_UX_DEBUG)\ + $(PDB_shw_matches_MT_UX_DEBUG)\ + $(PDB_shw_pattern_MT_UX_DEBUG)\ + \ + + +# xtests + +OBJ_xts_core_MT_UX = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.obj + +OBJ_XTESTS_MT_UX = \ + $(OBJ_xts_core_MT_UX)\ + \ + +OBJ_xts_core_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.obj +PDB_xts_core_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.pdb + +OBJ_XTESTS_MT_UX_DEBUG = \ + $(OBJ_xts_core_MT_UX_DEBUG)\ + \ + +PDB_CORE_MT_UX_DEBUG = \ + $(PDB_xts_core_MT_UX_DEBUG)\ + \ + + +######################################## +# Examples + +OBJ_BITBUCKET_MT_UX = $(PROJ_OBJ_DIR)\bitbucket.mt.obj +OBJ_BITBUCKET_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\bitbucket.mt.debug.obj + +OBJ_EX_boolean_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.obj +OBJ_EX_character_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.character.mt.obj +OBJ_EX_reals_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.obj +OBJ_EX_voidptrs_MT_UX = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.obj +OBJ_EX_ff_101_MT_UX = $(PROJ_OBJ_DIR)\example.ff_101.mt.obj +OBJ_EX_ff_101_nons_MT_UX = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.obj +OBJ_EX_flush_MT_UX = $(PROJ_OBJ_DIR)\example.flush.mt.obj +OBJ_EX_ins_hex_MT_UX = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.obj +OBJ_EX_ins_integers_MT_UX = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.obj +OBJ_EX_ins_reals_MT_UX = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.obj +OBJ_EX_itr_fmt_MT_UX = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.obj +OBJ_EX_ovld2_filt_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.obj +OBJ_EX_ovld2_ins_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.obj +OBJ_EX_ovld2_sas_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.obj +OBJ_EX_ovld3_fpcol_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.obj +OBJ_EX_ovld3_tabs_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.obj +OBJ_EX_ovld3_svrlg_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.obj +OBJ_EX_ovld3_rcins_MT_UX = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.obj +OBJ_EX_pad_hash_MT_UX = $(PROJ_OBJ_DIR)\example.pad.hash.mt.obj +OBJ_EX_sink_cstr_MT_UX = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.obj + +OBJ_EX_boolean_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.debug.obj +OBJ_EX_character_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.character.mt.debug.obj +OBJ_EX_reals_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.debug.obj +OBJ_EX_voidptrs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.debug.obj +OBJ_EX_ff_101_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ff_101.mt.debug.obj +OBJ_EX_ff_101_nons_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.debug.obj +OBJ_EX_flush_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.flush.mt.debug.obj +OBJ_EX_ins_hex_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.debug.obj +OBJ_EX_ins_integers_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.debug.obj +OBJ_EX_ins_reals_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.debug.obj +OBJ_EX_itr_fmt_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.debug.obj +OBJ_EX_ovld2_filt_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.debug.obj +OBJ_EX_ovld2_ins_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.debug.obj +OBJ_EX_ovld2_sas_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.debug.obj +OBJ_EX_ovld3_fpcol_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.debug.obj +OBJ_EX_ovld3_tabs_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.debug.obj +OBJ_EX_ovld3_svrlg_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.debug.obj +OBJ_EX_ovld3_rcins_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.debug.obj +OBJ_EX_pad_hash_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.pad.hash.mt.debug.obj +OBJ_EX_sink_cstr_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.debug.obj + + +######################################## +# Tests + +############################## +# Component Tests + +OBJ_TEST_COMP_file_MT_UX = $(PROJ_OBJ_DIR)\test.component.FILE.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.obj +OBJ_TEST_COMP_ins_hex_MT_UX = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.obj +OBJ_TEST_COMP_ins_int_MT_UX = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.obj +OBJ_TEST_COMP_ins_real_MT_UX = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_UX = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.obj +OBJ_TEST_COMP_null_sink_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.obj +OBJ_TEST_COMP_write_sink_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.obj +OBJ_TEST_COMP_x_1_MT_UX = $(PROJ_OBJ_DIR)\test.component.fsde.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_UX = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.obj +OBJ_TEST_COMP_file_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.FILE.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.fsde.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.debug.obj + +############################## +# Performance Tests + +OBJ_TEST_PERF_scen1_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.obj +OBJ_TEST_PERF_scen2_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.obj +OBJ_TEST_PERF_scen3_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.obj +OBJ_TEST_PERF_scen4_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.obj +OBJ_TEST_PERF_scen5_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.obj +OBJ_TEST_PERF_scen6_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.obj +OBJ_TEST_PERF_scen7_MT_UX = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.obj +OBJ_TEST_PERF_scen1_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.debug.obj + +############################## +# Scratch Tests + +OBJ_TEST_SCRT_file_MT_UX = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.obj +OBJ_TEST_SCRT_file_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.debug.obj + +OBJ_TEST_SCRT_vecfile_MT_UX = $(PROJ_OBJ_DIR)\test.scratch.sink.vectored_file.mt.obj +OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.scratch.sink.vectored_file.mt.debug.obj + +############################## +# Unit Tests + +OBJ_TEST_UNIT_api_acsllen_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.obj +OBJ_TEST_UNIT_api_init_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_UX = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_UX = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_UX = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.obj +OBJ_TEST_UNIT_ins_i_MT_UX = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_UX = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.obj +OBJ_TEST_UNIT_snk_null_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.obj +#OBJ_TEST_UNIT_snk_vecfile_MT_UX = $(PROJ_OBJ_DIR)\test.unit.sink.vec_file.mt.obj + +OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.debug.obj +#OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG = $(PROJ_OBJ_DIR)\test.unit.sink.vec_file.mt.debug.obj + +################################################## +# Target groups + +######################################## +# Objects + +# fastformat +OBJS_CORE = \ + $(OBJ_CORE_MT_UX)\ + $(OBJ_CORE_MT_UX_DEBUG)\ + \ + +# Loki.SafeFormat +OBJS_LOKI_SFMT = \ + $(OBJ_LOKI_SFMT_MT_UX)\ + $(OBJ_LOKI_SFMT_MT_UX_DEBUG)\ + \ + +# shwild +OBJS_SHWILD = \ + $(OBJ_SHWILD_MT_UX)\ + $(OBJ_SHWILD_MT_UX_DEBUG)\ + \ + +# xtests +OBJS_XTESTS = \ + $(OBJ_XTESTS_MT_UX)\ + $(OBJ_XTESTS_MT_UX_DEBUG)\ + \ + +# Examples +OBJS_EXAMPLE=\ + $(OBJ_EX_boolean_MT_UX)\ + $(OBJ_EX_character_MT_UX)\ + $(OBJ_EX_reals_MT_UX)\ + $(OBJ_EX_voidptrs_MT_UX)\ + $(OBJ_EX_ff_101_MT_UX)\ + $(OBJ_EX_ff_101_nons_MT_UX)\ + $(OBJ_EX_flush_MT_UX)\ + $(OBJ_EX_ins_hex_MT_UX)\ + $(OBJ_EX_ins_integers_MT_UX)\ + $(OBJ_EX_ins_reals_MT_UX)\ + $(OBJ_EX_itr_fmt_MT_UX)\ + $(OBJ_EX_ovld2_filt_MT_UX)\ + $(OBJ_EX_ovld2_ins_MT_UX)\ + $(OBJ_EX_ovld2_sas_MT_UX)\ + $(OBJ_EX_ovld3_fpcol_MT_UX)\ + $(OBJ_EX_ovld3_tabs_MT_UX)\ + $(OBJ_EX_ovld3_svrlg_MT_UX)\ + $(OBJ_EX_ovld3_rcins_MT_UX)\ + $(OBJ_EX_pad_hash_MT_UX)\ + $(OBJ_EX_sink_cstr_MT_UX)\ + $(OBJ_EX_boolean_MT_UX_DEBUG)\ + $(OBJ_EX_character_MT_UX_DEBUG)\ + $(OBJ_EX_reals_MT_UX_DEBUG)\ + $(OBJ_EX_voidptrs_MT_UX_DEBUG)\ + $(OBJ_EX_ff_101_MT_UX_DEBUG)\ + $(OBJ_EX_ff_101_nons_MT_UX_DEBUG)\ + $(OBJ_EX_flush_MT_UX_DEBUG)\ + $(OBJ_EX_ins_hex_MT_UX_DEBUG)\ + $(OBJ_EX_ins_integers_MT_UX_DEBUG)\ + $(OBJ_EX_ins_reals_MT_UX_DEBUG)\ + $(OBJ_EX_itr_fmt_MT_UX_DEBUG)\ + $(OBJ_EX_ovld2_filt_MT_UX_DEBUG)\ + $(OBJ_EX_ovld2_ins_MT_UX_DEBUG)\ + $(OBJ_EX_ovld2_sas_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(OBJ_EX_pad_hash_MT_UX_DEBUG)\ + $(OBJ_EX_sink_cstr_MT_UX_DEBUG)\ + \ + +# Component Tests +OBJS_TEST_COMPONENT=\ + $(OBJ_TEST_COMP_file_MT_UX)\ + $(OBJ_TEST_COMP_fmt_sink_MT_UX)\ + $(OBJ_TEST_COMP_ins_hex_MT_UX)\ + $(OBJ_TEST_COMP_ins_int_MT_UX)\ + $(OBJ_TEST_COMP_ins_real_MT_UX)\ + $(OBJ_TEST_COMP_itr_fmt_MT_UX)\ + $(OBJ_TEST_COMP_null_sink_MT_UX)\ + $(OBJ_TEST_COMP_snk_a_b_MT_UX)\ + $(OBJ_TEST_COMP_write_sink_MT_UX)\ + $(OBJ_TEST_COMP_x_1_MT_UX)\ + $(OBJ_TEST_COMP_snk_ostm_MT_UX)\ + $(OBJ_TEST_COMP_file_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + \ + +# Performance Tests +OBJS_TEST_PERFORMANCE_BOOST= + + +OBJS_TEST_PERFORMANCE=\ + $(OBJ_TEST_PERF_scen1_MT_UX)\ + $(OBJ_TEST_PERF_scen2_MT_UX)\ + $(OBJ_TEST_PERF_scen3_MT_UX)\ + $(OBJ_TEST_PERF_scen4_MT_UX)\ + $(OBJ_TEST_PERF_scen5_MT_UX)\ + $(OBJ_TEST_PERF_scen6_MT_UX)\ + $(OBJ_TEST_PERF_scen7_MT_UX)\ + $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(OBJS_TEST_PERFORMANCE_BOOST)\ + \ + +# Scratch Tests +OBJS_TEST_SCRATCH=\ + $(OBJ_TEST_SCRT_file_MT_UX)\ + $(OBJ_TEST_SCRT_vecfile_MT_UX)\ + $(OBJ_TEST_SCRT_file_MT_UX_DEBUG)\ + $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + \ + +# Unit Tests +OBJS_TEST_UNIT=\ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX)\ + $(OBJ_TEST_UNIT_api_crlf_MT_UX)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX)\ + $(OBJ_TEST_UNIT_api_init_MT_UX)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(OBJ_TEST_UNIT_ins_ch_MT_UX)\ + $(OBJ_TEST_UNIT_ins_i_MT_UX)\ + $(OBJ_TEST_UNIT_shim_fi_MT_UX)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX)\ + $(OBJ_TEST_UNIT_snk_null_MT_UX)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + \ + +######################################## +# Libraries + +TARGETS_LIB_CORE = \ + $(LIB_CORE_MT_UX)\ + $(LIB_CORE_MT_UX_DEBUG)\ + \ + +TARGETS_LIB_LOKI_SFMT = \ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + \ + +TARGETS_LIB_SHWILD = \ + $(LIB_SHWILD_MT_UX)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + \ + +TARGETS_LIB_XTESTS = \ + $(LIB_XTESTS_MT_UX)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + \ + +######################################## +# Programs + +TARGETS_BITBUCKET=\ + $(TARG_BITBUCKET_MT_UX)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + \ + +TARGETS_EXAMPLE=\ + $(TARG_EX_boolean_MT_UX)\ + $(TARG_EX_character_MT_UX)\ + $(TARG_EX_reals_MT_UX)\ + $(TARG_EX_voidptrs_MT_UX)\ + $(TARG_EX_ff_101_MT_UX)\ + $(TARG_EX_ff_101_nons_MT_UX)\ + $(TARG_EX_flush_MT_UX)\ + $(TARG_EX_ins_hex_MT_UX)\ + $(TARG_EX_ins_integers_MT_UX)\ + $(TARG_EX_ins_reals_MT_UX)\ + $(TARG_EX_itr_fmt_MT_UX)\ + $(TARG_EX_ovld2_filt_MT_UX)\ + $(TARG_EX_ovld2_ins_MT_UX)\ + $(TARG_EX_ovld2_sas_MT_UX)\ + $(TARG_EX_ovld3_fpcol_MT_UX)\ + $(TARG_EX_ovld3_tabs_MT_UX)\ + $(TARG_EX_ovld3_svrlg_MT_UX)\ + $(TARG_EX_ovld3_rcins_MT_UX)\ + $(TARG_EX_pad_hash_MT_UX)\ + $(TARG_EX_sink_cstr_MT_UX)\ + $(TARG_EX_boolean_MT_UX_DEBUG)\ + $(TARG_EX_character_MT_UX_DEBUG)\ + $(TARG_EX_reals_MT_UX_DEBUG)\ + $(TARG_EX_voidptrs_MT_UX_DEBUG)\ + $(TARG_EX_ff_101_MT_UX_DEBUG)\ + $(TARG_EX_ff_101_nons_MT_UX_DEBUG)\ + $(TARG_EX_flush_MT_UX_DEBUG)\ + $(TARG_EX_ins_hex_MT_UX_DEBUG)\ + $(TARG_EX_ins_integers_MT_UX_DEBUG)\ + $(TARG_EX_ins_reals_MT_UX_DEBUG)\ + $(TARG_EX_itr_fmt_MT_UX_DEBUG)\ + $(TARG_EX_ovld2_filt_MT_UX_DEBUG)\ + $(TARG_EX_ovld2_ins_MT_UX_DEBUG)\ + $(TARG_EX_ovld2_sas_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(TARG_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(TARG_EX_pad_hash_MT_UX_DEBUG)\ + $(TARG_EX_sink_cstr_MT_UX_DEBUG)\ + \ + +TARGETS_EXAMPLE_OVERLOAD_3=\ + \ + +TARGETS_SAMPLE=\ + \ + +TARGETS_TEST_COMPONENT=\ + $(TARG_TEST_COMP_file_MT_UX)\ + $(TARG_TEST_COMP_fmt_sink_MT_UX)\ + $(TARG_TEST_COMP_ins_hex_MT_UX)\ + $(TARG_TEST_COMP_ins_int_MT_UX)\ + $(TARG_TEST_COMP_ins_real_MT_UX)\ + $(TARG_TEST_COMP_itr_fmt_MT_UX)\ + $(TARG_TEST_COMP_null_sink_MT_UX)\ + $(TARG_TEST_COMP_snk_a_b_MT_UX)\ + $(TARG_TEST_COMP_write_sink_MT_UX)\ + $(TARG_TEST_COMP_x_1_MT_UX)\ + $(TARG_TEST_COMP_snk_ostm_MT_UX)\ + $(TARG_TEST_COMP_file_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + \ + +TARGETS_TEST_PERFORMANCE_BOOST= + + +TARGETS_TEST_PERFORMANCE=\ + $(TARG_TEST_PERF_scen1_MT_UX)\ + $(TARG_TEST_PERF_scen2_MT_UX)\ + $(TARG_TEST_PERF_scen3_MT_UX)\ + $(TARG_TEST_PERF_scen4_MT_UX)\ + $(TARG_TEST_PERF_scen5_MT_UX)\ + $(TARG_TEST_PERF_scen6_MT_UX)\ + $(TARG_TEST_PERF_scen7_MT_UX)\ + $(TARG_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(TARG_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(TARGETS_TEST_PERFORMANCE_BOOST)\ + \ + +TARGETS_TEST_SCRATCH=\ + $(TARG_TEST_SCRT_file_MT_UX)\ + $(TARG_TEST_SCRT_vecfile_MT_UX)\ + $(TARG_TEST_SCRT_file_MT_UX_DEBUG)\ + $(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + \ + +TARGETS_TEST_UNIT=\ + $(TARG_TEST_UNIT_api_acsllen_MT_UX)\ + $(TARG_TEST_UNIT_api_crlf_MT_UX)\ + $(TARG_TEST_UNIT_api_flrpl_MT_UX)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_UX)\ + $(TARG_TEST_UNIT_api_init_MT_UX)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_UX)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_UX)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_UX)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(TARG_TEST_UNIT_ins_ch_MT_UX)\ + $(TARG_TEST_UNIT_ins_i_MT_UX)\ + $(TARG_TEST_UNIT_shim_fi_MT_UX)\ + $(TARG_TEST_UNIT_snk_a_b_MT_UX)\ + $(TARG_TEST_UNIT_snk_c_str_MT_UX)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_UX)\ + $(TARG_TEST_UNIT_snk_null_MT_UX)\ + $(TARG_TEST_UNIT_snk_ostm_MT_UX)\ + $(TARG_TEST_UNIT_snk_sstm_MT_UX)\ + $(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + \ + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] )\ + +############################################################ +# Third-party libraries + + + +############################################################################ +# External Targets (invokable by make) + +# primary + +_default: build + +usage: + @echo "make [usage | compile | build | test | clean] - default is 'build'" + +# infrastructure + + + +prep.files.for.os: $(PROJ_MAKEFILE_NAME)\ + \ + +prep: prep.files.for.os + + +check.lib.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + +check.bin.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_BIN_DIR)\NUL mkdir $(PROJ_BIN_DIR) + + +# compile targets + +compile.libs.core: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_CORE) + +compile.libs.loki.safefmt: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_LOKI_SFMT) + +compile.libs.shwild: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SHWILD) + +compile.libs.xtests: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_XTESTS) + +compile.libs: compile.libs.core compile.libs.loki.safefmt compile.libs.shwild compile.libs.xtests + +compile.bitbucket: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_BITBUCKET) + +compile.examples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_EXAMPLE) + +compile.samples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SAMPLE) + +compile.test.component: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_COMPONENT) + +compile.test.performance: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_PERFORMANCE) + +compile.test.scratch: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_SCRATCH) + +compile.test.unit: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_UNIT) + +compile.test: compile.test.unit compile.test.component + +compile.test.all: compile.test compile.test.scratch compile.test.performance + +compile: compile.libs compile.test compile.examples compile.samples + +compile.all: compile compile.test.all + + + +# build targets + +build.libs.core: check.lib.dir prep.files.for.os $(TARGETS_LIB_CORE) + +build.libs.loki.safefmt: check.lib.dir prep.files.for.os $(TARGETS_LIB_LOKI_SFMT) + +build.libs.shwild: check.lib.dir prep.files.for.os $(TARGETS_LIB_SHWILD) + +build.libs.xtests: check.lib.dir prep.files.for.os $(TARGETS_LIB_XTESTS) + +build.libs: build.libs.core build.libs.loki.safefmt build.libs.shwild build.libs.xtests + +build.bitbucket: check.bin.dir prep.files.for.os $(TARGETS_BITBUCKET) + +build.examples: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE) + +build.examples.overload.3: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE_OVERLOAD_3) + +build.samples: check.bin.dir prep.files.for.os $(TARGETS_SAMPLE) + +build.test.component: check.bin.dir prep.files.for.os $(TARGETS_TEST_COMPONENT) + +build.test.performance: check.bin.dir prep.files.for.os $(TARGETS_TEST_PERFORMANCE) + +build.test.scratch: check.bin.dir prep.files.for.os $(TARGETS_TEST_SCRATCH) + +build.test.unit: check.bin.dir prep.files.for.os $(TARGETS_TEST_UNIT) + +build.test: build.test.unit build.test.component build.test.performance + +build.test.all: build.test build.test.scratch + +build: build.libs build.examples build.samples build.test + +build.all: build build.test.all + + + +# test targets + +test.component_: + @echo Running Test:Component programs ... + $(TARG_TEST_COMP_file_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + +test.performance_: + @echo Running Test:Performance programs ... + +test.scratch_: + @echo Running Test:Scratch programs ... + $(TARG_TEST_SCRT_file_MT_UX) + $(TARG_TEST_SCRT_vecfile_MT_UX) + $(TARG_TEST_SCRT_file_MT_UX_DEBUG) + $(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG) + +test.unit_: + @echo Running Test:Unit programs ... + $(TARG_TEST_UNIT_api_acsllen_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] ) --verbosity=$(TEST_VERBOSITY) + +test.examples_: + @echo Running Example programs ... + $(TARG_EX_boolean_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ins_hex_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ins_integers_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ins_reals_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_itr_fmt_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld2_filt_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_UX) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_UX) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld3_tabs_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld3_svrlg_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_ovld3_rcins_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_pad_hash_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_sink_cstr_MT_UX) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX) + $(TARG_EX_boolean_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ins_hex_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ins_integers_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ins_reals_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_itr_fmt_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld2_filt_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_UX_DEBUG) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld3_tabs_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld3_svrlg_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_ovld3_rcins_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_pad_hash_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + $(TARG_EX_sink_cstr_MT_UX_DEBUG) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_UX_DEBUG) + +test.examples.overload.3_: + @echo Running Overload 3 Example programs ... + +test.component: build.test.component test.component_ + +test.performance: build.test.performance test.performance_ + +test.scratch: build.test.scratch test.scratch_ + +test.unit: build.test.unit test.unit_ + +test.examples: build.examples test.examples_ + +test.examples.overload.3: build.examples.overload.3 test.examples.overload.3_ + +test: test.unit test.component + +test.all: build.all test test.performance test.scratch test.examples +test-all: test.all + + + +# clean targets + +clean.libs.core: + @echo cleaning Core libraries ... + @-$(RM) $(LIB_CORE_MT_UX) + @-$(RM) $(LIB_CORE_MT_UX_DEBUG) + @-$(RM) $(OBJ_CORE_MT_UX) + @-$(RM) $(OBJ_CORE_MT_UX_DEBUG) + @-$(RM) $(PDB_CORE_MT_UX_DEBUG) + +clean.libs.loki.safefmt: + @echo cleaning Loki.SafeFormat libraries ... + @-$(RM) $(LIB_LOKI_SFMT_MT_UX) + @-$(RM) $(LIB_LOKI_SFMT_MT_UX_DEBUG) + @-$(RM) $(OBJ_LOKI_SFMT_MT_UX) + @-$(RM) $(OBJ_LOKI_SFMT_MT_UX_DEBUG) + @-$(RM) $(PDB_LOKI_SFMT_MT_UX_DEBUG) + +clean.libs.shwild: + @echo cleaning shwild libraries ... + @-$(RM) $(LIB_SHWILD_MT_UX) + @-$(RM) $(LIB_SHWILD_MT_UX_DEBUG) + @-$(RM) $(OBJ_SHWILD_MT_UX) + @-$(RM) $(OBJ_SHWILD_MT_UX_DEBUG) + @-$(RM) $(PDB_SHWILD_MT_UX_DEBUG) + +clean.libs.xtests: + @echo cleaning xTests libraries ... + @-$(RM) $(LIB_XTESTS_MT_UX) + @-$(RM) $(LIB_XTESTS_MT_UX_DEBUG) + @-$(RM) $(OBJ_XTESTS_MT_UX) + @-$(RM) $(OBJ_XTESTS_MT_UX_DEBUG) + @-$(RM) $(PDB_XTESTS_MT_UX_DEBUG) + +clean.libs: clean.libs.core clean.libs.loki.safefmt clean.libs.shwild clean.libs.xtests + +clean.bitbucket: + @echo cleaning Bitbucket programs ... + @-$(RM) $(TARG_BITBUCKET_MT_UX) + @-$(RM) $(OBJ_BITBUCKET_MT_UX) + @-$(RM) $(TARG_BITBUCKET_MT_UX_DEBUG) + @-$(RM) $(OBJ_BITBUCKET_MT_UX_DEBUG) + +clean.examples: + @echo cleaning Example programs ... + @-$(RM) $(TARG_EX_boolean_MT_UX) + @-$(RM) $(OBJ_EX_boolean_MT_UX) + @-$(RM) $(TARG_EX_character_MT_UX) + @-$(RM) $(OBJ_EX_character_MT_UX) + @-$(RM) $(TARG_EX_reals_MT_UX) + @-$(RM) $(OBJ_EX_reals_MT_UX) + @-$(RM) $(TARG_EX_voidptrs_MT_UX) + @-$(RM) $(OBJ_EX_voidptrs_MT_UX) + @-$(RM) $(TARG_EX_ff_101_MT_UX) + @-$(RM) $(OBJ_EX_ff_101_MT_UX) + @-$(RM) $(TARG_EX_ff_101_nons_MT_UX) + @-$(RM) $(OBJ_EX_ff_101_nons_MT_UX) + @-$(RM) $(TARG_EX_flush_MT_UX) + @-$(RM) $(OBJ_EX_flush_MT_UX) + @-$(RM) $(TARG_EX_ins_hex_MT_UX) + @-$(RM) $(OBJ_EX_ins_hex_MT_UX) + @-$(RM) $(TARG_EX_ins_integers_MT_UX) + @-$(RM) $(OBJ_EX_ins_integers_MT_UX) + @-$(RM) $(TARG_EX_ins_reals_MT_UX) + @-$(RM) $(OBJ_EX_ins_reals_MT_UX) + @-$(RM) $(TARG_EX_itr_fmt_MT_UX) + @-$(RM) $(OBJ_EX_itr_fmt_MT_UX) + @-$(RM) $(TARG_EX_ovld2_filt_MT_UX) + @-$(RM) $(OBJ_EX_ovld2_filt_MT_UX) + @-$(RM) $(TARG_EX_ovld2_ins_MT_UX) + @-$(RM) $(OBJ_EX_ovld2_ins_MT_UX) + @-$(RM) $(TARG_EX_ovld2_sas_MT_UX) + @-$(RM) $(OBJ_EX_ovld2_sas_MT_UX) + @-$(RM) $(TARG_EX_ovld3_fpcol_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_fpcol_MT_UX) + @-$(RM) $(TARG_EX_ovld3_tabs_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_tabs_MT_UX) + @-$(RM) $(TARG_EX_ovld3_svrlg_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_svrlg_MT_UX) + @-$(RM) $(TARG_EX_ovld3_rcins_MT_UX) + @-$(RM) $(OBJ_EX_ovld3_rcins_MT_UX) + @-$(RM) $(TARG_EX_pad_hash_MT_UX) + @-$(RM) $(OBJ_EX_pad_hash_MT_UX) + @-$(RM) $(TARG_EX_sink_cstr_MT_UX) + @-$(RM) $(OBJ_EX_sink_cstr_MT_UX) + @-$(RM) $(TARG_EX_boolean_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_boolean_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_character_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_character_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_reals_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_reals_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_voidptrs_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_voidptrs_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ff_101_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ff_101_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ff_101_nons_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ff_101_nons_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_flush_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_flush_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ins_hex_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ins_hex_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ins_integers_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ins_integers_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ins_reals_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ins_reals_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld2_filt_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld2_filt_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld2_ins_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld2_ins_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld2_sas_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld2_sas_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_fpcol_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_tabs_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_svrlg_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_ovld3_rcins_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_pad_hash_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_pad_hash_MT_UX_DEBUG) + @-$(RM) $(TARG_EX_sink_cstr_MT_UX_DEBUG) + @-$(RM) $(OBJ_EX_sink_cstr_MT_UX_DEBUG) + +clean.samples: + @echo cleaning Sample programs ... + +clean.test.component: + @echo cleaning Test::Component files ... + @-$(RM) $(TARG_TEST_COMP_file_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_file_MT_UX) + @-$(RM) $(TARG_TEST_COMP_fmt_sink_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_fmt_sink_MT_UX) + @-$(RM) $(TARG_TEST_COMP_ins_hex_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_ins_hex_MT_UX) + @-$(RM) $(TARG_TEST_COMP_ins_int_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_ins_int_MT_UX) + @-$(RM) $(TARG_TEST_COMP_ins_real_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_ins_real_MT_UX) + @-$(RM) $(TARG_TEST_COMP_itr_fmt_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_itr_fmt_MT_UX) + @-$(RM) $(TARG_TEST_COMP_null_sink_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_null_sink_MT_UX) + @-$(RM) $(TARG_TEST_COMP_snk_a_b_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_snk_a_b_MT_UX) + @-$(RM) $(TARG_TEST_COMP_write_sink_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_write_sink_MT_UX) + @-$(RM) $(TARG_TEST_COMP_x_1_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_x_1_MT_UX) + @-$(RM) $(TARG_TEST_COMP_snk_ostm_MT_UX) + @-$(RM) $(OBJ_TEST_COMP_snk_ostm_MT_UX) + @-$(RM) $(TARG_TEST_COMP_file_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_file_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_ins_int_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_ins_real_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_null_sink_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_write_sink_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_x_1_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG) + +clean.test.performance: + @echo cleaning Test::Performance files ... + @-$(RM) $(TARG_TEST_PERF_scen1_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen1_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen2_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen2_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen3_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen3_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen4_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen4_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen5_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen5_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen6_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen6_MT_UX) + @-$(RM) $(TARG_TEST_PERF_scen7_MT_UX) + @-$(RM) $(OBJ_TEST_PERF_scen7_MT_UX) + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-$(RM) $(TARG_TEST_PERF_scen1_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen2_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen3_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen4_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen5_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen6_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_PERF_scen7_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG) + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + +clean.test.scratch: + @echo cleaning Test::Scratch files ... + @-$(RM) $(TARG_TEST_SCRT_file_MT_UX) + @-$(RM) $(OBJ_TEST_SCRT_file_MT_UX) + @-$(RM) $(TARG_TEST_SCRT_vecfile_MT_UX) + @-$(RM) $(OBJ_TEST_SCRT_vecfile_MT_UX) + @-$(RM) $(TARG_TEST_SCRT_file_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_SCRT_file_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG) + +clean.test.unit: + @echo cleaning Test::Unit files ... + @-$(RM) $(TARG_TEST_UNIT_api_acsllen_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_crlf_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_crlf_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_flrpl_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_init_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_init_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_ins_ch_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_ins_ch_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_ins_i_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_ins_i_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_shim_fi_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_shim_fi_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_a_b_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_c_str_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_null_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_null_MT_UX) +# @-$(RM) $(TARG_TEST_UNIT_snk_vecfile_MT_UX) +# @-$(RM) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_ostm_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_snk_sstm_MT_UX) + @-$(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_UX) + @-$(RM) $(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_init_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG) +# @-$(RM) $(TARG_TEST_UNIT_snk_vecfile_MT_UX_DEBUG) +# @-$(RM) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG) + @-$(RM) $(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG) + @-$(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG) + +clean.test: clean.test.unit clean.test.component clean.test.scratch clean.test.performance + +clean: clean.libs clean.bitbucket clean.examples clean.samples clean.test + +clean.all: clean + + +############################################################################ +# build targets + +################################################## +# Libraries + +######################################## +# Main library - 'fastformat.X.core.a' + +$(LIB_CORE_MT_UX): $(OBJ_CORE_MT_UX) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_UX) + +$(OBJ_cr_api_MT_UX): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_UX): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_UX): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_UX): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_UX): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_UX): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_UX): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_UX_DEBUG): $(OBJ_CORE_MT_UX_DEBUG) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_UX_DEBUG) + +$(OBJ_cr_api_MT_UX_DEBUG): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_UX_DEBUG): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_UX_DEBUG): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_UX_DEBUG): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_UX_DEBUG): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_UX_DEBUG): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_UX_DEBUG): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +######################################## +# Loki.SafeFormat library - 'loki.safefmt.X.core.a' + +######################################## +# shwild library - 'shwild.X.core.a' + +$(LIB_SHWILD_MT_UX): $(OBJ_SHWILD_MT_UX) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_UX) + +$(OBJ_shw_api_MT_UX): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_SHWILD_INCLUDES_MT_UX) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_UX): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_SHWILD_INCLUDES_MT_UX) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_UX): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_SHWILD_INCLUDES_MT_UX) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_UX_DEBUG): $(OBJ_SHWILD_MT_UX_DEBUG) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_UX_DEBUG) + +$(OBJ_shw_api_MT_UX_DEBUG): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_SHWILD_INCLUDES_MT_UX_DEBUG) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_UX_DEBUG): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_SHWILD_INCLUDES_MT_UX_DEBUG) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_UX_DEBUG): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_SHWILD_INCLUDES_MT_UX_DEBUG) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +######################################## +# xTests library - 'xtests.X.ff.core.a' + +$(LIB_XTESTS_MT_UX): $(OBJ_XTESTS_MT_UX) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_UX) + +$(OBJ_xts_core_MT_UX): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) $(CPPC_XTESTS_INCLUDES_MT_UX) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_UX_DEBUG): $(OBJ_XTESTS_MT_UX_DEBUG) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_UX_DEBUG) + +$(OBJ_xts_core_MT_UX_DEBUG): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) $(CPPC_XTESTS_INCLUDES_MT_UX_DEBUG) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +################################################## +# Examples: BitBucket + +$(BITBUCKET_SRC_DIR)\bitbucket.cpp: #$(PROJ_MAKEFILE_NAME) + @-if not exist $(BITBUCKET_SRC_DIR)\NUL mkdir $(BITBUCKET_SRC_DIR) + echo #include "stdio.h" > $@ + echo int main(int, char**) { int ch; for(; EOF != (ch = getchar());) { ; } return 0; } >> $@ + +$(TARG_BITBUCKET_MT_UX): $(OBJ_BITBUCKET_MT_UX) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_BITBUCKET_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_BITBUCKET_MT_UX): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_UX_DEBUG): $(OBJ_BITBUCKET_MT_UX_DEBUG) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_BITBUCKET_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_BITBUCKET_MT_UX_DEBUG): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + + +################################################## +# Examples + +$(TARG_EX_boolean_MT_UX): \ + $(OBJ_EX_boolean_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_boolean_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_boolean_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_UX_DEBUG): \ + $(OBJ_EX_boolean_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_boolean_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_boolean_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_character_MT_UX): \ + $(OBJ_EX_character_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_character_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_character_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_UX_DEBUG): \ + $(OBJ_EX_character_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_character_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_character_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_reals_MT_UX): \ + $(OBJ_EX_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_reals_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_UX_DEBUG): \ + $(OBJ_EX_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_reals_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_voidptrs_MT_UX): \ + $(OBJ_EX_voidptrs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_voidptrs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_voidptrs_MT_UX): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_UX_DEBUG): \ + $(OBJ_EX_voidptrs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_voidptrs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_voidptrs_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_ff_101_MT_UX): \ + $(OBJ_EX_ff_101_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ff_101_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ff_101_MT_UX): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_MT_UX_DEBUG): \ + $(OBJ_EX_ff_101_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ff_101_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ff_101_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_nons_MT_UX): \ + $(OBJ_EX_ff_101_nons_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ff_101_nons_MT_UX): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_MT_UX_DEBUG): \ + $(OBJ_EX_ff_101_nons_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ff_101_nons_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_flush_MT_UX): \ + $(OBJ_EX_flush_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_flush_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_flush_MT_UX): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_MT_UX_DEBUG): \ + $(OBJ_EX_flush_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_flush_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_flush_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_ins_hex_MT_UX): \ + $(OBJ_EX_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ins_hex_MT_UX): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_MT_UX_DEBUG): \ + $(OBJ_EX_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ins_hex_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_integers_MT_UX): \ + $(OBJ_EX_ins_integers_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ins_integers_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ins_integers_MT_UX): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_MT_UX_DEBUG): \ + $(OBJ_EX_ins_integers_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ins_integers_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ins_integers_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_reals_MT_UX): \ + $(OBJ_EX_ins_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ins_reals_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ins_reals_MT_UX): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_MT_UX_DEBUG): \ + $(OBJ_EX_ins_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ins_reals_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ins_reals_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + + + +$(TARG_EX_itr_fmt_MT_UX): \ + $(OBJ_EX_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_itr_fmt_MT_UX): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_UX_DEBUG): \ + $(OBJ_EX_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_itr_fmt_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_ovld2_filt_MT_UX): \ + $(OBJ_EX_ovld2_filt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld2_filt_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_MT_UX_DEBUG): \ + $(OBJ_EX_ovld2_filt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld2_filt_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + + +$(TARG_EX_ovld2_ins_MT_UX): \ + $(OBJ_EX_ovld2_ins_MT_UX)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld2_ins_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_MT_UX_DEBUG): \ + $(OBJ_EX_ovld2_ins_MT_UX_DEBUG)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld2_ins_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_sas_MT_UX): \ + $(OBJ_EX_ovld2_sas_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld2_sas_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_MT_UX_DEBUG): \ + $(OBJ_EX_ovld2_sas_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld2_sas_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld3_fpcol_MT_UX): \ + $(OBJ_EX_ovld3_fpcol_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_fpcol_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_fpcol_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_tabs_MT_UX): \ + $(OBJ_EX_ovld3_tabs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_tabs_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_tabs_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_svrlg_MT_UX): \ + $(OBJ_EX_ovld3_svrlg_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_svrlg_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_svrlg_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_rcins_MT_UX): \ + $(OBJ_EX_ovld3_rcins_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_ovld3_rcins_MT_UX): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_MT_UX_DEBUG): \ + $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_ovld3_rcins_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_pad_hash_MT_UX): \ + $(OBJ_EX_pad_hash_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_pad_hash_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_pad_hash_MT_UX): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_MT_UX_DEBUG): \ + $(OBJ_EX_pad_hash_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_pad_hash_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_pad_hash_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_sink_cstr_MT_UX): \ + $(OBJ_EX_sink_cstr_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARG_BITBUCKET_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_EX_sink_cstr_MT_UX): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_MT_UX_DEBUG): \ + $(OBJ_EX_sink_cstr_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARG_BITBUCKET_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_EX_sink_cstr_MT_UX_DEBUG): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +################################################## +# Tests + +############################## +# Component Tests + +$(TARG_TEST_COMP_snk_a_b_MT_UX): \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_snk_a_b_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_snk_a_b_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_file_MT_UX): \ + $(OBJ_TEST_COMP_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_file_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_file_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_UX): \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_fmt_sink_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_fmt_sink_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_write_sink_MT_UX): \ + $(OBJ_TEST_COMP_write_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_write_sink_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_write_sink_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_x_1_MT_UX): \ + $(OBJ_TEST_COMP_x_1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_x_1_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_x_1_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_null_sink_MT_UX): \ + $(OBJ_TEST_COMP_null_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_null_sink_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_null_sink_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_ins_hex_MT_UX): \ + $(OBJ_TEST_COMP_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_ins_hex_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_ins_hex_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_int_MT_UX): \ + $(OBJ_TEST_COMP_ins_int_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_ins_int_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_ins_int_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_real_MT_UX): \ + $(OBJ_TEST_COMP_ins_real_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_ins_real_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_ins_real_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_UX): \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_itr_fmt_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_itr_fmt_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_UX): \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_COMP_snk_ostm_MT_UX): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_UX_DEBUG): \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_COMP_snk_ostm_MT_UX_DEBUG): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +############################## +# Performance Tests + +$(TARG_TEST_PERF_scen1_MT_UX): \ + $(OBJ_TEST_PERF_scen1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen1_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen1_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen2_MT_UX): \ + $(OBJ_TEST_PERF_scen2_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen2_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen2_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen3_MT_UX): \ + $(OBJ_TEST_PERF_scen3_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen3_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen3_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen4_MT_UX): \ + $(OBJ_TEST_PERF_scen4_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen4_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen4_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen5_MT_UX): \ + $(OBJ_TEST_PERF_scen5_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen5_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen5_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen6_MT_UX): \ + $(OBJ_TEST_PERF_scen6_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen6_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen6_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen7_MT_UX): \ + $(OBJ_TEST_PERF_scen7_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_LOKI_SFMT_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_PERF_scen7_MT_UX): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_UX_DEBUG): \ + $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_LOKI_SFMT_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_PERF_scen7_MT_UX_DEBUG): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +############################## +# Scratch Tests + +$(TARG_TEST_SCRT_file_MT_UX): \ + $(OBJ_TEST_SCRT_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_SCRT_file_MT_UX): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_UX_DEBUG): \ + $(OBJ_TEST_SCRT_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_SCRT_file_MT_UX_DEBUG): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_vecfile_MT_UX): \ + $(OBJ_TEST_SCRT_vecfile_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_SCRT_vecfile_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_SCRT_vecfile_MT_UX): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp + +$(TARG_TEST_SCRT_vecfile_MT_UX_DEBUG): \ + $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_SCRT_vecfile_MT_UX_DEBUG): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.sink.vectored_file\test.scratch.sink.vectored_file.cpp + +############################## +# Unit Tests + + +$(TARG_TEST_UNIT_api_acsllen_MT_UX): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_acsllen_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_acsllen_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_UX): \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_crlf_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_crlf_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_UX): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_flrpl_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_flrpl_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_init_MT_UX): \ + $(OBJ_TEST_UNIT_api_init_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_init_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_init_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_UX): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_UX): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_UX): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_UX): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_UX): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_UX): \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_ins_ch_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_ins_ch_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_i_MT_UX): \ + $(OBJ_TEST_UNIT_ins_i_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_ins_i_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_ins_i_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_UX): \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_shim_fi_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_shim_fi_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_UX): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_a_b_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_a_b_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_UX): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_c_str_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_c_str_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_UX): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_null_MT_UX): \ + $(OBJ_TEST_UNIT_snk_null_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_null_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_null_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +#$(TARG_TEST_UNIT_snk_vecfile_MT_UX): \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX)\ +# $(LIB_CORE_MT_UX)\ +# $(LIB_SHWILD_MT_UX)\ +# $(LIB_XTESTS_MT_UX)\ +# $(PROJ_MAKEFILE_NAME) +# [``[IFDEF(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX),"$@",,$(LIB_CORE_MT_UX)+$(LIB_SHWILD_MT_UX)+$(LIB_XTESTS_MT_UX)+$(TARGET_LIB_MT_UX) +# [``[ELSE(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX) -out:$@ \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX)\ +# $(LIB_CORE_MT_UX)\ +# $(LIB_SHWILD_MT_UX)\ +# $(LIB_XTESTS_MT_UX)\ +# $(TARGET_LIB_MT_UX) +# [``[ENDIF(AR_USES_DMC_SYNTAX)]``] +# +#$(OBJ_TEST_UNIT_snk_vecfile_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) +# $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp +# +#$(TARG_TEST_UNIT_snk_vecfile_MT_UX_DEBUG): \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG)\ +# $(LIB_CORE_MT_UX_DEBUG)\ +# $(LIB_SHWILD_MT_UX_DEBUG)\ +# $(LIB_XTESTS_MT_UX_DEBUG)\ +# $(PROJ_MAKEFILE_NAME) +# [``[IFDEF(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX_DEBUG) $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG),"$@",,$(LIB_CORE_MT_UX_DEBUG)+$(LIB_SHWILD_MT_UX_DEBUG)+$(LIB_XTESTS_MT_UX_DEBUG)+$(TARGET_LIB_MT_UX_DEBUG) +# [``[ELSE(AR_USES_DMC_SYNTAX)]``] +# $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ +# $(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG)\ +# $(LIB_CORE_MT_UX_DEBUG)\ +# $(LIB_SHWILD_MT_UX_DEBUG)\ +# $(LIB_XTESTS_MT_UX_DEBUG)\ +# $(TARGET_LIB_MT_UX_DEBUG) +# [``[ENDIF(AR_USES_DMC_SYNTAX)]``] +# +#$(OBJ_TEST_UNIT_snk_vecfile_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) +# $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.vectored_file\test.unit.sink.vectored_file.cpp +# +$(TARG_TEST_UNIT_snk_ostm_MT_UX): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_ostm_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_ostm_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_UX): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX)\ + $(LIB_CORE_MT_UX)\ + $(LIB_SHWILD_MT_UX)\ + $(LIB_XTESTS_MT_UX)\ + $(TARGET_LIB_MT_UX) + +$(OBJ_TEST_UNIT_snk_sstm_MT_UX): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_UX_DEBUG): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_UX_DEBUG) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG)\ + $(LIB_CORE_MT_UX_DEBUG)\ + $(LIB_SHWILD_MT_UX_DEBUG)\ + $(LIB_XTESTS_MT_UX_DEBUG)\ + $(TARGET_LIB_MT_UX_DEBUG) + +$(OBJ_TEST_UNIT_snk_sstm_MT_UX_DEBUG): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_UX_DEBUG) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +############################### end of file ################################ diff --git a/build/vc14.x64/makefile b/build/vc14.x64/makefile new file mode 100644 index 0000000..6f99967 --- /dev/null +++ b/build/vc14.x64/makefile @@ -0,0 +1,12423 @@ +############################################################################ +# File: makefile +# +# Purpose: Makefile for the FastFormat project, for the Visual C++ 14 (x64 64-bit) +# compiler, on Win64 +# +# Created: 22nd December 2007 +# Updated: 25th September 2015 +# +############################################################################ + + +############################################################################ +# Environment check +# + +!ifndef STLSOFT +!error STLSOFT must be defined +!endif # !STLSOFT + +############################################################################ +# Symbols + +######################################## +# Project & compiler version + +COMP_TAG = vc14 +ARCH_TAG = .x64 +OS_TAG = +PROJ_TAG = fastformat +PROJ_VER_MAJOR = 0 +PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) + +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) + +SHWILD_VER_MAJOR = 0 +SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) + +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + +XTESTS_VER_MAJOR = 0 +XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) + +PROJ_MAKEFILE_NAME = makefile + +######################################## +# Testing verbosity + +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY + +######################################## +# Directories + +PROJ_BASE_DIR = ..\.. +PROJ_BIN_DIR = $(PROJ_BASE_DIR)\bin +PROJ_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +PROJ_LIB_DIR = $(PROJ_BASE_DIR)\lib +PROJ_OBJ_DIR = . +PROJ_SRC_DIR = $(PROJ_BASE_DIR)\src +PROJ_EXAMPLES_DIR = $(PROJ_BASE_DIR)\examples +PROJ_SAMPLES_DIR = $(PROJ_BASE_DIR)\samples +PROJ_BUNDLES_DIR = $(PROJ_BASE_DIR)\test\data\bundles +PROJ_TEST_ROOT_DIR = $(PROJ_BASE_DIR)\test +PROJ_TEST_COMPONENT_DIR = $(PROJ_TEST_ROOT_DIR)\component +PROJ_TEST_PERFORMANCE_DIR = $(PROJ_TEST_ROOT_DIR)\performance +PROJ_TEST_SCRATCH_DIR = $(PROJ_TEST_ROOT_DIR)\scratch +PROJ_TEST_UNIT_DIR = $(PROJ_TEST_ROOT_DIR)\unit + +BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket + +LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt + +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR + +######################################## +# tools + +CC = cl +CPPC = cl +AR = lib +LD = link +RM = del /f +CP = copy +RC = rc + + +# Lib + +LIBS_LEADER = + +# lib paths + +ENV_LIBPATHS = +COMMON_LIBPATHS = + + +LIBPATHS=\ +$(LIBS_LEADER)\ +$(COMMON_LIBPATHS)\ +$(ENV_LIBPATHS)\ +\ + + +# lib names + +TARGET_LIB_MT_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + + +# #defines + +COMMON_DEFS = -DWIN64 + +CUSTOM_DEFS = + +# includes + +COMMON_INCLUDES = + + + +# CPPC flags + +CPPC_F_NOLOGO = -nologo +CPPC_F_COMPILE_ONLY = -c +CPPC_F_WARNING_MAX = -W4 -wd4800 +CPPC_F_WARNING_ERR = -WX +CPPC_F_EXCEPTIONS_ON = -EHsc +CPPC_F_EXCEPTIONS_OFF = -wd4530 +CPPC_F_EXCEPTIONS = $(CPPC_F_EXCEPTIONS_ON) +CPPC_F_RTTI_ON = -GR +CPPC_F_RTTI_OFF = -GR- +CPPC_F_RTTI = $(CPPC_F_RTTI_ON) +CPPC_F_MINIMUM_SIZE = -O1 +CPPC_F_MAXIMUM_SPEED = -O2 -Ox + +CPPC_FLAGS_BASE =\ + $(CPPC_F_NOLOGO)\ + $(CPPC_F_COMPILE_ONLY)\ + $(CPPC_F_WARNING_MAX)\ + $(CPPC_F_WARNING_ERR)\ + $(CPPC_F_EXCEPTIONS)\ + $(CPPC_F_RTTI)\ + \ + +CPPC_FLAGS_MT_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + + +# CPPC defines + +!ifdef FASTFORMAT_NO_NAG +NO_NAG_DEFS = -DFASTFORMAT_NO_VERSION_NAG=1 +!else # ? FASTFORMAT_NO_NAG +NO_NAG_DEFS = +!endif # FASTFORMAT_NO_NAG + +CPPC_DEFS_BASE =\ + $(COMMON_DEFS)\ + $(CUSTOM_DEFS)\ + $(NO_NAG_DEFS)\ + \ + +!ifdef BOOST +DEFINE_BOOST_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_BOOST +!else # BOOST +DEFINE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +DEFINE_LOKI_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_LOKI +!else # LOKI +DEFINE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_DEFS_MT_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + + + +# CPPC includes + +!ifdef STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = -I"$(STLSOFT_1_10)/include" +!else # STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = +!endif # STLSOFT_1_10 + +CPPC_INCLUDES_BASE=\ + \ + -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ + $(STLSOFT_1_10_INCLUDE)\ + -I$(STLSOFT)\include\ + $(COMMON_INCLUDES)\ + \ + +CPPC_CORE_INCLUDES_BASE=\ + -I$(PROJ_SRC_DIR)\ + $(CPPC_INCLUDES_BASE)\ + \ + +!ifdef BOOST +INCLUDE_BOOST_FOR_PERFTEST = -I"$(BOOST)" +!else # BOOST +INCLUDE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +INCLUDE_LOKI_FOR_PERFTEST = -I"$(LOKI)/include" +!else # LOKI +INCLUDE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_INCLUDES_MT_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + + + +CPPC_SHWILD_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + + +CPPC_XTESTS_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + + + +# CPPC args (= flags + defines + includes) + +CPPC_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D) +CPPC_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D_W) +CPPC_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D) +CPPC_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D_W) +CPPC_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R) +CPPC_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R_W) +CPPC_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R) +CPPC_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R_W) + +CPPC_CORE_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) + + + + +# AR Args + +AR_ARGS = -nologo + + +# LD flags + +LD_F_NOLOGO = -nologo + +LD_FLAGS_BASE =\ + $(LD_F_NOLOGO)\ + \ + +LD_FLAGS_MT_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R_W =\ + $(LD_FLAGS_BASE)\ + \ + + +# LD includes + +LD_INCLUDES_BASE =\ + $(LIBPATHS)\ + \ + +LD_INCLUDES_MT_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + + +LD_ARGS = -machine:x64 + +LD_F_NOLOGO = -nologo + +# LD args + +LD_ARGS_MT_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) + +############################################################################ +# Headers + +CORE_HEADERS=\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.h\ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\ff.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\implicit_link.h\ + $(PROJ_INCLUDE_DIR)\fastformat\init_codes.h\ + $(PROJ_INCLUDE_DIR)\fastformat\exceptions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\openrj_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\properties_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\winini_bundle.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\format\standard_flags.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_missing_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\mismatched_arguments_scope_base.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\integer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\real.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_e.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_f.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_g.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_i.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\internal\format_element.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\stlsoft.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\string_encoding.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\threading.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\initialiser.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\api_functions.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\helper_functions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\quality\contract.h\ + $(PROJ_INCLUDE_DIR)\fastformat\quality\cover.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\shims\action\fmt_slices\generic_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\fast_integers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\reals.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\void_pointers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ACE_CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\auto_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CComBSTR.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\char_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\c_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\FILE.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\null.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ostream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\OutputDebugString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\shim_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\speech.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\stringstream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\string_array.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\vectored_file.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.first_include.h\ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.last_include.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\util\memory\auto_buffer_selector.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\sinks\helpers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\string\snprintf.h\ + \ + +SHWILD_HEADERS=\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)\xcover\xcover.h\ + $(PROJ_INCLUDE_DIR)\xcover\internal\reporter.h\ + \ + +XTESTS_HEADERS=\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ + \ + +############################################################################ +# Names + +############################################################ +# Targets + +######################################## +# Libraries + +# fastformat +LIB_CORE_MT_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_CORE_MT_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_CORE_DLL_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_CORE_DLL_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_CORE_DLL_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.lib +LIB_CORE_DLL_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_CORE_MT_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.lib +LIB_CORE_MT_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# Loki.SafeFormat - performance testing only +!ifdef LOKI +LIB_LOKI_SFMT_MT_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_LOKI_SFMT_MT_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_LOKI_SFMT_DLL_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_LOKI_SFMT_DLL_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_LOKI_SFMT_DLL_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_LOKI_SFMT_DLL_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_LOKI_SFMT_MT_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_LOKI_SFMT_MT_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib +!else # LOKI +LIB_LOKI_SFMT_MT_D = +LIB_LOKI_SFMT_MT_D_W = +LIB_LOKI_SFMT_DLL_D = +LIB_LOKI_SFMT_DLL_D_W = +LIB_LOKI_SFMT_DLL_R = +LIB_LOKI_SFMT_DLL_R_W = +LIB_LOKI_SFMT_MT_R = +LIB_LOKI_SFMT_MT_R_W = +!endif # LOKI + +# shwild - testing only +LIB_SHWILD_MT_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_SHWILD_MT_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_SHWILD_DLL_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_SHWILD_DLL_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_SHWILD_DLL_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_SHWILD_DLL_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_SHWILD_MT_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_SHWILD_MT_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# xtests - unit/component testing only +LIB_XTESTS_MT_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_XTESTS_MT_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_XTESTS_DLL_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_XTESTS_DLL_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_XTESTS_DLL_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_XTESTS_DLL_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_XTESTS_MT_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_XTESTS_MT_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +######################################## +# Examples + +TARG_BITBUCKET_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_BITBUCKET_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_BITBUCKET_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_BITBUCKET_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_BITBUCKET_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.exe +TARG_BITBUCKET_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_BITBUCKET_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.exe +TARG_BITBUCKET_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +TARG_EX_boolean_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_character_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_voidptrs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_nons_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_flush_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_integers_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_WLIs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_filt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_ins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_sas_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_fpcol_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_tabs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_svrlg_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_rcins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_pad_hash_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_cstr_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_ODS_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.debug.exe + +TARG_EX_boolean_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_character_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_reals_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_voidptrs_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_sink_ODS_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe + +TARG_EX_boolean_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_character_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_voidptrs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_nons_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_flush_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_integers_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_WLIs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_filt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_ins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_sas_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_fpcol_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_tabs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_svrlg_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_rcins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_pad_hash_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_cstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_ODS_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.debug.exe + +TARG_EX_boolean_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_character_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_reals_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_voidptrs_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_sink_ODS_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe + +TARG_EX_boolean_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_character_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_voidptrs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_nons_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_flush_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_integers_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_WLIs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_filt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_ins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_sas_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_fpcol_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_tabs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_svrlg_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_rcins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_pad_hash_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_cstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_ODS_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.exe + +TARG_EX_boolean_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_character_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_reals_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_voidptrs_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_sink_ODS_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.exe + +TARG_EX_boolean_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_character_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_voidptrs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_nons_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_flush_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_integers_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_WLIs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_filt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_ins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_sas_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_fpcol_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_tabs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_svrlg_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_rcins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_pad_hash_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_cstr_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_ODS_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.exe + +TARG_EX_boolean_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_character_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_reals_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_voidptrs_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_sink_ODS_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.exe + + +######################################## +# Tests + +############################## +# Component Tests + +TARG_TEST_COMP_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_x_1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_x_1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_int_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_real_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_null_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_write_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_x_1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_int_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_real_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_null_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_write_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_x_1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_fmt_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_int_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_real_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_null_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_write_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_x_1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_hex_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_int_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_real_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_null_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_write_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_x_1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Performance Tests + +TARG_TEST_PERF_scen1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen2_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen3_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen4_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen5_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen6_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen7_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen2_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen3_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen4_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen5_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen6_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen7_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen2_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen3_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen4_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen5_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen6_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen7_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen2_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen3_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen4_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen5_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen6_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen7_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen2_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen3_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen4_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen5_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen6_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen7_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen2_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen3_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen4_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen5_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen6_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen7_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!endif # BOOST + +############################## +# Scratch Tests + +TARG_TEST_SCRT_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_speech_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_speech_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_speech_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_speech_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_speech_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_SCRT_speech_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Unit Tests + +TARG_TEST_UNIT_api_acsllen_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_init_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_i_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_null_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_init_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_acsllen_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_crlf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_init_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_ch_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_i_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_shim_fi_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_null_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ods_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_init_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_i_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_null_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################################################################ +# Objects + +######################################## +# Libraries + +# fastformat + +OBJ_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.obj +OBJ_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.obj +OBJ_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.obj +OBJ_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.obj +OBJ_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.obj +OBJ_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.obj +PDB_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.pdb +PDB_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.pdb +PDB_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.pdb +PDB_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.pdb +PDB_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.pdb +PDB_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.pdb + +OBJ_CORE_MT_D = \ + $(OBJ_cr_api_MT_D)\ + $(OBJ_cr_fsdhndlrs_MT_D)\ + $(OBJ_cr_fmtcache_MT_D)\ + $(OBJ_cr_initstr_MT_D)\ + $(OBJ_cr_mempool_MT_D)\ + $(OBJ_cr_replacements_MT_D)\ + $(OBJ_cr_snprintf_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_cr_api_MT_D)\ + $(PDB_cr_fsdhndlrs_MT_D)\ + $(PDB_cr_fmtcache_MT_D)\ + $(PDB_cr_initstr_MT_D)\ + $(PDB_cr_mempool_MT_D)\ + $(PDB_cr_replacements_MT_D)\ + $(PDB_cr_snprintf_MT_D)\ + \ + +OBJ_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.obj +OBJ_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.obj +OBJ_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.obj +OBJ_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.obj +OBJ_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.obj +OBJ_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.obj +PDB_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.pdb +PDB_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.pdb +PDB_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.pdb +PDB_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.pdb +PDB_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.pdb +PDB_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.pdb + +OBJ_CORE_MT_D_W = \ + $(OBJ_cr_api_MT_D_W)\ + $(OBJ_cr_fsdhndlrs_MT_D_W)\ + $(OBJ_cr_fmtcache_MT_D_W)\ + $(OBJ_cr_initstr_MT_D_W)\ + $(OBJ_cr_mempool_MT_D_W)\ + $(OBJ_cr_replacements_MT_D_W)\ + $(OBJ_cr_snprintf_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_cr_api_MT_D_W)\ + $(PDB_cr_fsdhndlrs_MT_D_W)\ + $(PDB_cr_fmtcache_MT_D_W)\ + $(PDB_cr_initstr_MT_D_W)\ + $(PDB_cr_mempool_MT_D_W)\ + $(PDB_cr_replacements_MT_D_W)\ + $(PDB_cr_snprintf_MT_D_W)\ + \ + +OBJ_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.obj +OBJ_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.obj +OBJ_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.obj +OBJ_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.obj +OBJ_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.obj +OBJ_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.obj +PDB_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.pdb +PDB_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.pdb +PDB_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.pdb +PDB_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.pdb +PDB_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.pdb +PDB_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.pdb + +OBJ_CORE_DLL_D = \ + $(OBJ_cr_api_DLL_D)\ + $(OBJ_cr_fsdhndlrs_DLL_D)\ + $(OBJ_cr_fmtcache_DLL_D)\ + $(OBJ_cr_initstr_DLL_D)\ + $(OBJ_cr_mempool_DLL_D)\ + $(OBJ_cr_replacements_DLL_D)\ + $(OBJ_cr_snprintf_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_cr_api_DLL_D)\ + $(PDB_cr_fsdhndlrs_DLL_D)\ + $(PDB_cr_fmtcache_DLL_D)\ + $(PDB_cr_initstr_DLL_D)\ + $(PDB_cr_mempool_DLL_D)\ + $(PDB_cr_replacements_DLL_D)\ + $(PDB_cr_snprintf_DLL_D)\ + \ + +OBJ_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.obj +OBJ_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.obj +OBJ_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.obj +OBJ_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.obj +OBJ_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.obj +OBJ_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.obj +PDB_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.pdb +PDB_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.pdb +PDB_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.pdb +PDB_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.pdb +PDB_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.pdb +PDB_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.pdb + +OBJ_CORE_DLL_D_W = \ + $(OBJ_cr_api_DLL_D_W)\ + $(OBJ_cr_fsdhndlrs_DLL_D_W)\ + $(OBJ_cr_fmtcache_DLL_D_W)\ + $(OBJ_cr_initstr_DLL_D_W)\ + $(OBJ_cr_mempool_DLL_D_W)\ + $(OBJ_cr_replacements_DLL_D_W)\ + $(OBJ_cr_snprintf_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_cr_api_DLL_D_W)\ + $(PDB_cr_fsdhndlrs_DLL_D_W)\ + $(PDB_cr_fmtcache_DLL_D_W)\ + $(PDB_cr_initstr_DLL_D_W)\ + $(PDB_cr_mempool_DLL_D_W)\ + $(PDB_cr_replacements_DLL_D_W)\ + $(PDB_cr_snprintf_DLL_D_W)\ + \ + +OBJ_cr_api_DLL_R = $(PROJ_OBJ_DIR)\core.api.dll.obj +OBJ_cr_fsdhndlrs_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.obj +OBJ_cr_fmtcache_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.obj +OBJ_cr_initstr_DLL_R = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.obj +OBJ_cr_mempool_DLL_R = $(PROJ_OBJ_DIR)\core.mempool.dll.obj +OBJ_cr_replacements_DLL_R = $(PROJ_OBJ_DIR)\core.replacements.dll.obj +OBJ_cr_snprintf_DLL_R = $(PROJ_OBJ_DIR)\core.snprintf.dll.obj + +OBJ_CORE_DLL_R = \ + $(OBJ_cr_api_DLL_R)\ + $(OBJ_cr_fsdhndlrs_DLL_R)\ + $(OBJ_cr_fmtcache_DLL_R)\ + $(OBJ_cr_initstr_DLL_R)\ + $(OBJ_cr_mempool_DLL_R)\ + $(OBJ_cr_replacements_DLL_R)\ + $(OBJ_cr_snprintf_DLL_R)\ + \ + +OBJ_cr_api_DLL_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.obj +OBJ_cr_fsdhndlrs_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.obj +OBJ_cr_fmtcache_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.obj +OBJ_cr_initstr_DLL_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.obj +OBJ_cr_mempool_DLL_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.obj +OBJ_cr_replacements_DLL_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.obj +OBJ_cr_snprintf_DLL_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.obj + +OBJ_CORE_DLL_R_W = \ + $(OBJ_cr_api_DLL_R_W)\ + $(OBJ_cr_fsdhndlrs_DLL_R_W)\ + $(OBJ_cr_fmtcache_DLL_R_W)\ + $(OBJ_cr_initstr_DLL_R_W)\ + $(OBJ_cr_mempool_DLL_R_W)\ + $(OBJ_cr_replacements_DLL_R_W)\ + $(OBJ_cr_snprintf_DLL_R_W)\ + \ + +OBJ_cr_api_MT_R = $(PROJ_OBJ_DIR)\core.api.mt.obj +OBJ_cr_fsdhndlrs_MT_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.obj +OBJ_cr_fmtcache_MT_R = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.obj +OBJ_cr_initstr_MT_R = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.obj +OBJ_cr_mempool_MT_R = $(PROJ_OBJ_DIR)\core.mempool.mt.obj +OBJ_cr_replacements_MT_R = $(PROJ_OBJ_DIR)\core.replacements.mt.obj +OBJ_cr_snprintf_MT_R = $(PROJ_OBJ_DIR)\core.snprintf.mt.obj + +OBJ_CORE_MT_R = \ + $(OBJ_cr_api_MT_R)\ + $(OBJ_cr_fsdhndlrs_MT_R)\ + $(OBJ_cr_fmtcache_MT_R)\ + $(OBJ_cr_initstr_MT_R)\ + $(OBJ_cr_mempool_MT_R)\ + $(OBJ_cr_replacements_MT_R)\ + $(OBJ_cr_snprintf_MT_R)\ + \ + +OBJ_cr_api_MT_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.obj +OBJ_cr_fsdhndlrs_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.obj +OBJ_cr_fmtcache_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.obj +OBJ_cr_initstr_MT_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.obj +OBJ_cr_mempool_MT_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.obj +OBJ_cr_replacements_MT_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.obj +OBJ_cr_snprintf_MT_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.obj + +OBJ_CORE_MT_R_W = \ + $(OBJ_cr_api_MT_R_W)\ + $(OBJ_cr_fsdhndlrs_MT_R_W)\ + $(OBJ_cr_fmtcache_MT_R_W)\ + $(OBJ_cr_initstr_MT_R_W)\ + $(OBJ_cr_mempool_MT_R_W)\ + $(OBJ_cr_replacements_MT_R_W)\ + $(OBJ_cr_snprintf_MT_R_W)\ + \ + + +# Loki.SafeFormat + +!ifdef LOKI +OBJ_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.obj +PDB_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D = \ + $(OBJ_lok_sfmt_MT_D)\ + \ + +PDB_LOKI_SFMT_MT_D = \ + $(PDB_lok_sfmt_MT_D)\ + \ + +OBJ_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.obj +PDB_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D_W = \ + $(OBJ_lok_sfmt_MT_D_W)\ + \ + +PDB_LOKI_SFMT_MT_D_W = \ + $(PDB_lok_sfmt_MT_D_W)\ + \ + +OBJ_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.obj +PDB_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D = \ + $(OBJ_lok_sfmt_DLL_D)\ + \ + +PDB_LOKI_SFMT_DLL_D = \ + $(PDB_lok_sfmt_DLL_D)\ + \ + +OBJ_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.obj +PDB_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D_W = \ + $(OBJ_lok_sfmt_DLL_D_W)\ + \ + +PDB_LOKI_SFMT_DLL_D_W = \ + $(PDB_lok_sfmt_DLL_D_W)\ + \ + +OBJ_lok_sfmt_DLL_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.obj + +OBJ_LOKI_SFMT_DLL_R = \ + $(OBJ_lok_sfmt_DLL_R)\ + \ + +OBJ_lok_sfmt_DLL_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.obj + +OBJ_LOKI_SFMT_DLL_R_W = \ + $(OBJ_lok_sfmt_DLL_R_W)\ + \ + +OBJ_lok_sfmt_MT_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.obj + +OBJ_LOKI_SFMT_MT_R = \ + $(OBJ_lok_sfmt_MT_R)\ + \ + +OBJ_lok_sfmt_MT_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.obj + +OBJ_LOKI_SFMT_MT_R_W = \ + $(OBJ_lok_sfmt_MT_R_W)\ + \ + +!else # LOKI +OBJ_lok_sfmt_MT_D = +PDB_lok_sfmt_MT_D = +OBJ_LOKI_SFMT_MT_D_PM = +PDB_LOKI_SFMT_MT_D_PM = +OBJ_lok_sfmt_MT_D_W = +PDB_lok_sfmt_MT_D_W = +OBJ_LOKI_SFMT_MT_D_W_PM = +PDB_LOKI_SFMT_MT_D_W_PM = +OBJ_lok_sfmt_DLL_D = +PDB_lok_sfmt_DLL_D = +OBJ_LOKI_SFMT_DLL_D_PM = +PDB_LOKI_SFMT_DLL_D_PM = +OBJ_lok_sfmt_DLL_D_W = +PDB_lok_sfmt_DLL_D_W = +OBJ_LOKI_SFMT_DLL_D_W_PM = +PDB_LOKI_SFMT_DLL_D_W_PM = +OBJ_lok_sfmt_DLL_R = +PDB_lok_sfmt_DLL_R = +OBJ_LOKI_SFMT_DLL_R_PM = +PDB_LOKI_SFMT_DLL_R_PM = +OBJ_lok_sfmt_DLL_R_W = +PDB_lok_sfmt_DLL_R_W = +OBJ_LOKI_SFMT_DLL_R_W_PM = +PDB_LOKI_SFMT_DLL_R_W_PM = +OBJ_lok_sfmt_MT_R = +PDB_lok_sfmt_MT_R = +OBJ_LOKI_SFMT_MT_R_PM = +PDB_LOKI_SFMT_MT_R_PM = +OBJ_lok_sfmt_MT_R_W = +PDB_lok_sfmt_MT_R_W = +OBJ_LOKI_SFMT_MT_R_W_PM = +PDB_LOKI_SFMT_MT_R_W_PM = +!endif # LOKI + +# shwild + +OBJ_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.obj +OBJ_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.obj +OBJ_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.obj +PDB_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.pdb +PDB_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.pdb +PDB_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.pdb + +OBJ_SHWILD_MT_D = \ + $(OBJ_shw_api_MT_D)\ + $(OBJ_shw_matches_MT_D)\ + $(OBJ_shw_pattern_MT_D)\ + \ + +PDB_SHWILD_MT_D = \ + $(PDB_shw_api_MT_D)\ + $(PDB_shw_matches_MT_D)\ + $(PDB_shw_pattern_MT_D)\ + \ + +OBJ_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.obj +OBJ_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.obj +OBJ_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.obj +PDB_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.pdb +PDB_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.pdb +PDB_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.pdb + +OBJ_SHWILD_MT_D_W = \ + $(OBJ_shw_api_MT_D_W)\ + $(OBJ_shw_matches_MT_D_W)\ + $(OBJ_shw_pattern_MT_D_W)\ + \ + +PDB_SHWILD_MT_D_W = \ + $(PDB_shw_api_MT_D_W)\ + $(PDB_shw_matches_MT_D_W)\ + $(PDB_shw_pattern_MT_D_W)\ + \ + +OBJ_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.obj +OBJ_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.obj +OBJ_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.obj +PDB_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.pdb +PDB_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.pdb +PDB_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.pdb + +OBJ_SHWILD_DLL_D = \ + $(OBJ_shw_api_DLL_D)\ + $(OBJ_shw_matches_DLL_D)\ + $(OBJ_shw_pattern_DLL_D)\ + \ + +PDB_SHWILD_DLL_D = \ + $(PDB_shw_api_DLL_D)\ + $(PDB_shw_matches_DLL_D)\ + $(PDB_shw_pattern_DLL_D)\ + \ + +OBJ_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.obj +OBJ_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.obj +OBJ_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.obj +PDB_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.pdb +PDB_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.pdb +PDB_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.pdb + +OBJ_SHWILD_DLL_D_W = \ + $(OBJ_shw_api_DLL_D_W)\ + $(OBJ_shw_matches_DLL_D_W)\ + $(OBJ_shw_pattern_DLL_D_W)\ + \ + +PDB_SHWILD_DLL_D_W = \ + $(PDB_shw_api_DLL_D_W)\ + $(PDB_shw_matches_DLL_D_W)\ + $(PDB_shw_pattern_DLL_D_W)\ + \ + +OBJ_shw_api_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.obj +OBJ_shw_matches_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.obj +OBJ_shw_pattern_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.obj + +OBJ_SHWILD_DLL_R = \ + $(OBJ_shw_api_DLL_R)\ + $(OBJ_shw_matches_DLL_R)\ + $(OBJ_shw_pattern_DLL_R)\ + \ + +OBJ_shw_api_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.obj +OBJ_shw_matches_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.obj +OBJ_shw_pattern_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.obj + +OBJ_SHWILD_DLL_R_W = \ + $(OBJ_shw_api_DLL_R_W)\ + $(OBJ_shw_matches_DLL_R_W)\ + $(OBJ_shw_pattern_DLL_R_W)\ + \ + +OBJ_shw_api_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.obj +OBJ_shw_matches_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.obj +OBJ_shw_pattern_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.obj + +OBJ_SHWILD_MT_R = \ + $(OBJ_shw_api_MT_R)\ + $(OBJ_shw_matches_MT_R)\ + $(OBJ_shw_pattern_MT_R)\ + \ + +OBJ_shw_api_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.obj +OBJ_shw_matches_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.obj +OBJ_shw_pattern_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.obj + +OBJ_SHWILD_MT_R_W = \ + $(OBJ_shw_api_MT_R_W)\ + $(OBJ_shw_matches_MT_R_W)\ + $(OBJ_shw_pattern_MT_R_W)\ + \ + + +# xtests + +OBJ_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.obj +PDB_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.pdb + +OBJ_XTESTS_MT_D = \ + $(OBJ_xts_core_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_xts_core_MT_D)\ + \ + +OBJ_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.obj +PDB_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.pdb + +OBJ_XTESTS_MT_D_W = \ + $(OBJ_xts_core_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_xts_core_MT_D_W)\ + \ + +OBJ_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.obj +PDB_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.pdb + +OBJ_XTESTS_DLL_D = \ + $(OBJ_xts_core_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_xts_core_DLL_D)\ + \ + +OBJ_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.obj +PDB_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.pdb + +OBJ_XTESTS_DLL_D_W = \ + $(OBJ_xts_core_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_xts_core_DLL_D_W)\ + \ + +OBJ_xts_core_DLL_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.obj + +OBJ_XTESTS_DLL_R = \ + $(OBJ_xts_core_DLL_R)\ + \ + +OBJ_xts_core_DLL_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.obj + +OBJ_XTESTS_DLL_R_W = \ + $(OBJ_xts_core_DLL_R_W)\ + \ + +OBJ_xts_core_MT_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.obj + +OBJ_XTESTS_MT_R = \ + $(OBJ_xts_core_MT_R)\ + \ + +OBJ_xts_core_MT_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.obj + +OBJ_XTESTS_MT_R_W = \ + $(OBJ_xts_core_MT_R_W)\ + \ + + +######################################## +# Examples + +OBJ_BITBUCKET_MT_D = $(PROJ_OBJ_DIR)\bitbucket.mt.debug.obj +OBJ_BITBUCKET_MT_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.debug.obj +OBJ_BITBUCKET_DLL_D = $(PROJ_OBJ_DIR)\bitbucket.dll.debug.obj +OBJ_BITBUCKET_DLL_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.debug.obj +OBJ_BITBUCKET_DLL_R = $(PROJ_OBJ_DIR)\bitbucket.dll.obj +OBJ_BITBUCKET_DLL_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.obj +OBJ_BITBUCKET_MT_R = $(PROJ_OBJ_DIR)\bitbucket.mt.obj +OBJ_BITBUCKET_MT_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.obj + +OBJ_EX_boolean_MT_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.debug.obj +OBJ_EX_character_MT_D = $(PROJ_OBJ_DIR)\example.arguments.character.mt.debug.obj +OBJ_EX_reals_MT_D = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.debug.obj +OBJ_EX_voidptrs_MT_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.debug.obj +OBJ_EX_ff_101_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.mt.debug.obj +OBJ_EX_ff_101_nons_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.debug.obj +OBJ_EX_flush_MT_D = $(PROJ_OBJ_DIR)\example.flush.mt.debug.obj +OBJ_EX_ins_hex_MT_D = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.debug.obj +OBJ_EX_ins_integers_MT_D = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.debug.obj +OBJ_EX_ins_reals_MT_D = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.debug.obj +OBJ_EX_ins_WLIs_MT_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.debug.obj +OBJ_EX_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.debug.obj +OBJ_EX_ovld2_filt_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.debug.obj +OBJ_EX_ovld2_ins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.debug.obj +OBJ_EX_ovld2_sas_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.debug.obj +OBJ_EX_ovld3_fpcol_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.debug.obj +OBJ_EX_ovld3_tabs_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.debug.obj +OBJ_EX_ovld3_svrlg_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.debug.obj +OBJ_EX_ovld3_rcins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.debug.obj +OBJ_EX_pad_hash_MT_D = $(PROJ_OBJ_DIR)\example.pad.hash.mt.debug.obj +OBJ_EX_sink_cstr_MT_D = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.debug.obj +OBJ_EX_sink_ODS_MT_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.debug.obj + +OBJ_EX_boolean_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.debug.obj +OBJ_EX_character_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.debug.obj +OBJ_EX_reals_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.debug.obj +OBJ_EX_voidptrs_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.debug.obj +OBJ_EX_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_EX_sink_ODS_MT_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.debug.obj + +OBJ_EX_boolean_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.debug.obj +OBJ_EX_character_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.character.dll.debug.obj +OBJ_EX_reals_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.debug.obj +OBJ_EX_voidptrs_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.debug.obj +OBJ_EX_ff_101_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.dll.debug.obj +OBJ_EX_ff_101_nons_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.debug.obj +OBJ_EX_flush_DLL_D = $(PROJ_OBJ_DIR)\example.flush.dll.debug.obj +OBJ_EX_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.debug.obj +OBJ_EX_ins_integers_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.debug.obj +OBJ_EX_ins_reals_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.debug.obj +OBJ_EX_ins_WLIs_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.debug.obj +OBJ_EX_ovld2_filt_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.debug.obj +OBJ_EX_ovld2_ins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.debug.obj +OBJ_EX_ovld2_sas_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.debug.obj +OBJ_EX_ovld3_fpcol_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.debug.obj +OBJ_EX_ovld3_tabs_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.debug.obj +OBJ_EX_ovld3_svrlg_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.debug.obj +OBJ_EX_ovld3_rcins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.debug.obj +OBJ_EX_pad_hash_DLL_D = $(PROJ_OBJ_DIR)\example.pad.hash.dll.debug.obj +OBJ_EX_sink_cstr_DLL_D = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.debug.obj + +OBJ_EX_boolean_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.debug.obj +OBJ_EX_character_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.debug.obj +OBJ_EX_reals_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.debug.obj +OBJ_EX_voidptrs_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.debug.obj + +OBJ_EX_boolean_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.obj +OBJ_EX_character_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.character.dll.obj +OBJ_EX_reals_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.obj +OBJ_EX_voidptrs_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.obj +OBJ_EX_ff_101_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.dll.obj +OBJ_EX_ff_101_nons_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.obj +OBJ_EX_flush_DLL_R = $(PROJ_OBJ_DIR)\example.flush.dll.obj +OBJ_EX_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.obj +OBJ_EX_ins_integers_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.obj +OBJ_EX_ins_reals_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.obj +OBJ_EX_ins_WLIs_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.obj +OBJ_EX_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.obj +OBJ_EX_ovld2_filt_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.obj +OBJ_EX_ovld2_ins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.obj +OBJ_EX_ovld2_sas_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.obj +OBJ_EX_ovld3_fpcol_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.obj +OBJ_EX_ovld3_tabs_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.obj +OBJ_EX_ovld3_svrlg_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.obj +OBJ_EX_ovld3_rcins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.obj +OBJ_EX_pad_hash_DLL_R = $(PROJ_OBJ_DIR)\example.pad.hash.dll.obj +OBJ_EX_sink_cstr_DLL_R = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.obj +OBJ_EX_sink_ODS_DLL_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.obj + +OBJ_EX_boolean_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.obj +OBJ_EX_character_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.obj +OBJ_EX_reals_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.obj +OBJ_EX_voidptrs_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.obj +OBJ_EX_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.obj +OBJ_EX_sink_ODS_DLL_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.obj + +OBJ_EX_boolean_MT_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.obj +OBJ_EX_character_MT_R = $(PROJ_OBJ_DIR)\example.arguments.character.mt.obj +OBJ_EX_reals_MT_R = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.obj +OBJ_EX_voidptrs_MT_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.obj +OBJ_EX_ff_101_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.mt.obj +OBJ_EX_ff_101_nons_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.obj +OBJ_EX_flush_MT_R = $(PROJ_OBJ_DIR)\example.flush.mt.obj +OBJ_EX_ins_hex_MT_R = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.obj +OBJ_EX_ins_integers_MT_R = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.obj +OBJ_EX_ins_reals_MT_R = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.obj +OBJ_EX_ins_WLIs_MT_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.obj +OBJ_EX_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.obj +OBJ_EX_ovld2_filt_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.obj +OBJ_EX_ovld2_ins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.obj +OBJ_EX_ovld2_sas_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.obj +OBJ_EX_ovld3_fpcol_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.obj +OBJ_EX_ovld3_tabs_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.obj +OBJ_EX_ovld3_svrlg_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.obj +OBJ_EX_ovld3_rcins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.obj +OBJ_EX_pad_hash_MT_R = $(PROJ_OBJ_DIR)\example.pad.hash.mt.obj +OBJ_EX_sink_cstr_MT_R = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.obj +OBJ_EX_sink_ODS_MT_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.obj + +OBJ_EX_boolean_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.obj +OBJ_EX_character_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.obj +OBJ_EX_reals_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.obj +OBJ_EX_voidptrs_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.obj +OBJ_EX_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.obj +OBJ_EX_sink_ODS_MT_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.obj + + +######################################## +# Tests + +############################## +# Component Tests + +OBJ_TEST_COMP_file_MT_D = $(PROJ_OBJ_DIR)\test.component.FILE.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D = $(PROJ_OBJ_DIR)\test.component.fsde.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.debug.obj +OBJ_TEST_COMP_file_MT_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_COMP_file_DLL_D = $(PROJ_OBJ_DIR)\test.component.FILE.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D = $(PROJ_OBJ_DIR)\test.component.fsde.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.debug.obj +OBJ_TEST_COMP_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_COMP_file_DLL_R = $(PROJ_OBJ_DIR)\test.component.FILE.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.obj +OBJ_TEST_COMP_x_1_DLL_R = $(PROJ_OBJ_DIR)\test.component.fsde.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.obj +OBJ_TEST_COMP_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.obj +OBJ_TEST_COMP_x_1_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.obj +OBJ_TEST_COMP_file_MT_R = $(PROJ_OBJ_DIR)\test.component.FILE.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.obj +OBJ_TEST_COMP_ins_int_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.obj +OBJ_TEST_COMP_ins_real_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.obj +OBJ_TEST_COMP_null_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.obj +OBJ_TEST_COMP_write_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.obj +OBJ_TEST_COMP_x_1_MT_R = $(PROJ_OBJ_DIR)\test.component.fsde.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.obj +OBJ_TEST_COMP_file_MT_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.obj +OBJ_TEST_COMP_ins_int_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.obj +OBJ_TEST_COMP_ins_real_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.obj +OBJ_TEST_COMP_null_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_COMP_write_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.obj +OBJ_TEST_COMP_x_1_MT_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.obj + +############################## +# Performance Tests + +OBJ_TEST_PERF_scen1_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.obj +OBJ_TEST_PERF_scen2_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.obj +OBJ_TEST_PERF_scen3_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.obj +OBJ_TEST_PERF_scen4_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.obj +OBJ_TEST_PERF_scen5_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.obj +OBJ_TEST_PERF_scen6_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.obj +OBJ_TEST_PERF_scen7_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.obj +OBJ_TEST_PERF_scen2_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.obj +OBJ_TEST_PERF_scen3_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.obj +OBJ_TEST_PERF_scen4_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.obj +OBJ_TEST_PERF_scen5_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.obj +OBJ_TEST_PERF_scen6_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.obj +OBJ_TEST_PERF_scen7_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.obj +OBJ_TEST_PERF_scen2_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.obj +OBJ_TEST_PERF_scen3_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.obj +OBJ_TEST_PERF_scen4_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.obj +OBJ_TEST_PERF_scen5_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.obj +OBJ_TEST_PERF_scen6_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.obj +OBJ_TEST_PERF_scen7_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.obj +OBJ_TEST_PERF_scen2_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.obj +OBJ_TEST_PERF_scen3_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.obj +OBJ_TEST_PERF_scen4_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.obj +OBJ_TEST_PERF_scen5_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.obj +OBJ_TEST_PERF_scen6_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.obj +OBJ_TEST_PERF_scen7_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.obj +!endif # BOOST + +############################## +# Scratch Tests + +OBJ_TEST_SCRT_file_MT_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.debug.obj +OBJ_TEST_SCRT_file_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.debug.obj +OBJ_TEST_SCRT_file_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.obj +OBJ_TEST_SCRT_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.obj +OBJ_TEST_SCRT_file_MT_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.obj +OBJ_TEST_SCRT_file_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.obj + +OBJ_TEST_SCRT_speech_MT_D = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.debug.obj +OBJ_TEST_SCRT_speech_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.debug.obj +OBJ_TEST_SCRT_speech_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.obj +OBJ_TEST_SCRT_speech_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.obj +OBJ_TEST_SCRT_speech_MT_R = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.obj +OBJ_TEST_SCRT_speech_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.obj + +############################## +# Unit Tests + +OBJ_TEST_UNIT_api_acsllen_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.obj +OBJ_TEST_UNIT_api_init_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_init_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.obj + +################################################## +# Target groups + +######################################## +# Objects + +# fastformat +OBJS_CORE = \ + $(OBJ_CORE_MT_D)\ + $(OBJ_CORE_MT_D_W)\ + $(OBJ_CORE_DLL_D)\ + $(OBJ_CORE_DLL_D_W)\ + $(OBJ_CORE_DLL_R)\ + $(OBJ_CORE_DLL_R_W)\ + $(OBJ_CORE_MT_R)\ + $(OBJ_CORE_MT_R_W)\ + \ + +# Loki.SafeFormat +OBJS_LOKI_SFMT = \ + $(OBJ_LOKI_SFMT_MT_D)\ + $(OBJ_LOKI_SFMT_MT_D_W)\ + $(OBJ_LOKI_SFMT_DLL_D)\ + $(OBJ_LOKI_SFMT_DLL_D_W)\ + $(OBJ_LOKI_SFMT_DLL_R)\ + $(OBJ_LOKI_SFMT_DLL_R_W)\ + $(OBJ_LOKI_SFMT_MT_R)\ + $(OBJ_LOKI_SFMT_MT_R_W)\ + \ + +# shwild +OBJS_SHWILD = \ + $(OBJ_SHWILD_MT_D)\ + $(OBJ_SHWILD_MT_D_W)\ + $(OBJ_SHWILD_DLL_D)\ + $(OBJ_SHWILD_DLL_D_W)\ + $(OBJ_SHWILD_DLL_R)\ + $(OBJ_SHWILD_DLL_R_W)\ + $(OBJ_SHWILD_MT_R)\ + $(OBJ_SHWILD_MT_R_W)\ + \ + +# xtests +OBJS_XTESTS = \ + $(OBJ_XTESTS_MT_D)\ + $(OBJ_XTESTS_MT_D_W)\ + $(OBJ_XTESTS_DLL_D)\ + $(OBJ_XTESTS_DLL_D_W)\ + $(OBJ_XTESTS_DLL_R)\ + $(OBJ_XTESTS_DLL_R_W)\ + $(OBJ_XTESTS_MT_R)\ + $(OBJ_XTESTS_MT_R_W)\ + \ + +# Examples +OBJS_EXAMPLE=\ + $(OBJ_EX_boolean_MT_D)\ + $(OBJ_EX_character_MT_D)\ + $(OBJ_EX_reals_MT_D)\ + $(OBJ_EX_voidptrs_MT_D)\ + $(OBJ_EX_ff_101_MT_D)\ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(OBJ_EX_flush_MT_D)\ + $(OBJ_EX_ins_hex_MT_D)\ + $(OBJ_EX_ins_integers_MT_D)\ + $(OBJ_EX_ins_reals_MT_D)\ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(OBJ_EX_itr_fmt_MT_D)\ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(OBJ_EX_pad_hash_MT_D)\ + $(OBJ_EX_sink_cstr_MT_D)\ + $(OBJ_EX_sink_ODS_MT_D)\ + $(OBJ_EX_boolean_MT_D_W)\ + $(OBJ_EX_character_MT_D_W)\ + $(OBJ_EX_reals_MT_D_W)\ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(OBJ_EX_boolean_DLL_D)\ + $(OBJ_EX_character_DLL_D)\ + $(OBJ_EX_reals_DLL_D)\ + $(OBJ_EX_voidptrs_DLL_D)\ + $(OBJ_EX_ff_101_DLL_D)\ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(OBJ_EX_flush_DLL_D)\ + $(OBJ_EX_ins_hex_DLL_D)\ + $(OBJ_EX_ins_integers_DLL_D)\ + $(OBJ_EX_ins_reals_DLL_D)\ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(OBJ_EX_pad_hash_DLL_D)\ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(OBJ_EX_boolean_DLL_D_W)\ + $(OBJ_EX_character_DLL_D_W)\ + $(OBJ_EX_reals_DLL_D_W)\ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(OBJ_EX_boolean_DLL_R)\ + $(OBJ_EX_character_DLL_R)\ + $(OBJ_EX_reals_DLL_R)\ + $(OBJ_EX_voidptrs_DLL_R)\ + $(OBJ_EX_ff_101_DLL_R)\ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(OBJ_EX_flush_DLL_R)\ + $(OBJ_EX_ins_hex_DLL_R)\ + $(OBJ_EX_ins_integers_DLL_R)\ + $(OBJ_EX_ins_reals_DLL_R)\ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(OBJ_EX_pad_hash_DLL_R)\ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(OBJ_EX_boolean_DLL_R_W)\ + $(OBJ_EX_character_DLL_R_W)\ + $(OBJ_EX_reals_DLL_R_W)\ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(OBJ_EX_boolean_MT_R)\ + $(OBJ_EX_character_MT_R)\ + $(OBJ_EX_reals_MT_R)\ + $(OBJ_EX_voidptrs_MT_R)\ + $(OBJ_EX_ff_101_MT_R)\ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(OBJ_EX_flush_MT_R)\ + $(OBJ_EX_ins_hex_MT_R)\ + $(OBJ_EX_ins_integers_MT_R)\ + $(OBJ_EX_ins_reals_MT_R)\ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(OBJ_EX_itr_fmt_MT_R)\ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(OBJ_EX_pad_hash_MT_R)\ + $(OBJ_EX_sink_cstr_MT_R)\ + $(OBJ_EX_sink_ODS_MT_R)\ + $(OBJ_EX_boolean_MT_R_W)\ + $(OBJ_EX_character_MT_R_W)\ + $(OBJ_EX_reals_MT_R_W)\ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(OBJ_EX_sink_ODS_MT_R_W)\ + \ + +# Component Tests +OBJS_TEST_COMPONENT=\ + $(OBJ_TEST_COMP_file_MT_D)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_COMP_file_MT_R)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +# Performance Tests +OBJS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +OBJS_TEST_PERFORMANCE_BOOST=\ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(OBJ_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +OBJS_TEST_PERFORMANCE=\ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(OBJS_TEST_PERFORMANCE_BOOST)\ + \ + +# Scratch Tests +OBJS_TEST_SCRATCH=\ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + \ + +# Unit Tests +OBJS_TEST_UNIT=\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +######################################## +# Libraries + +TARGETS_LIB_CORE = \ + $(LIB_CORE_MT_D)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_CORE_DLL_D)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_CORE_DLL_R)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_CORE_MT_R)\ + $(LIB_CORE_MT_R_W)\ + \ + +TARGETS_LIB_LOKI_SFMT = \ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + \ + +TARGETS_LIB_SHWILD = \ + $(LIB_SHWILD_MT_D)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_SHWILD_MT_R_W)\ + \ + +TARGETS_LIB_XTESTS = \ + $(LIB_XTESTS_MT_D)\ + $(LIB_XTESTS_MT_D_W)\ + $(LIB_XTESTS_DLL_D)\ + $(LIB_XTESTS_DLL_D_W)\ + $(LIB_XTESTS_DLL_R)\ + $(LIB_XTESTS_DLL_R_W)\ + $(LIB_XTESTS_MT_R)\ + $(LIB_XTESTS_MT_R_W)\ + \ + +######################################## +# Programs + +TARGETS_BITBUCKET=\ + $(TARG_BITBUCKET_MT_D)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(TARG_BITBUCKET_DLL_D)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_R)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(TARG_BITBUCKET_MT_R)\ + $(TARG_BITBUCKET_MT_R_W)\ + \ + +TARGETS_EXAMPLE=\ + $(TARG_EX_boolean_MT_D)\ + $(TARG_EX_character_MT_D)\ + $(TARG_EX_reals_MT_D)\ + $(TARG_EX_voidptrs_MT_D)\ + $(TARG_EX_ff_101_MT_D)\ + $(TARG_EX_ff_101_nons_MT_D)\ + $(TARG_EX_flush_MT_D)\ + $(TARG_EX_ins_hex_MT_D)\ + $(TARG_EX_ins_integers_MT_D)\ + $(TARG_EX_ins_reals_MT_D)\ + $(TARG_EX_ins_WLIs_MT_D)\ + $(TARG_EX_itr_fmt_MT_D)\ + $(TARG_EX_ovld2_filt_MT_D)\ + $(TARG_EX_ovld2_ins_MT_D)\ + $(TARG_EX_ovld2_sas_MT_D)\ + $(TARG_EX_ovld3_fpcol_MT_D)\ + $(TARG_EX_ovld3_tabs_MT_D)\ + $(TARG_EX_ovld3_svrlg_MT_D)\ + $(TARG_EX_ovld3_rcins_MT_D)\ + $(TARG_EX_pad_hash_MT_D)\ + $(TARG_EX_sink_cstr_MT_D)\ + $(TARG_EX_sink_ODS_MT_D)\ + $(TARG_EX_boolean_MT_D_W)\ + $(TARG_EX_character_MT_D_W)\ + $(TARG_EX_reals_MT_D_W)\ + $(TARG_EX_voidptrs_MT_D_W)\ + $(TARG_EX_itr_fmt_MT_D_W)\ + $(TARG_EX_sink_ODS_MT_D_W)\ + $(TARG_EX_boolean_DLL_D)\ + $(TARG_EX_character_DLL_D)\ + $(TARG_EX_reals_DLL_D)\ + $(TARG_EX_voidptrs_DLL_D)\ + $(TARG_EX_ff_101_DLL_D)\ + $(TARG_EX_ff_101_nons_DLL_D)\ + $(TARG_EX_flush_DLL_D)\ + $(TARG_EX_ins_hex_DLL_D)\ + $(TARG_EX_ins_integers_DLL_D)\ + $(TARG_EX_ins_reals_DLL_D)\ + $(TARG_EX_ins_WLIs_DLL_D)\ + $(TARG_EX_itr_fmt_DLL_D)\ + $(TARG_EX_ovld2_filt_DLL_D)\ + $(TARG_EX_ovld2_ins_DLL_D)\ + $(TARG_EX_ovld2_sas_DLL_D)\ + $(TARG_EX_ovld3_fpcol_DLL_D)\ + $(TARG_EX_ovld3_tabs_DLL_D)\ + $(TARG_EX_ovld3_svrlg_DLL_D)\ + $(TARG_EX_ovld3_rcins_DLL_D)\ + $(TARG_EX_pad_hash_DLL_D)\ + $(TARG_EX_sink_cstr_DLL_D)\ + $(TARG_EX_sink_ODS_DLL_D)\ + $(TARG_EX_boolean_DLL_D_W)\ + $(TARG_EX_character_DLL_D_W)\ + $(TARG_EX_reals_DLL_D_W)\ + $(TARG_EX_voidptrs_DLL_D_W)\ + $(TARG_EX_itr_fmt_DLL_D_W)\ + $(TARG_EX_sink_ODS_DLL_D_W)\ + $(TARG_EX_boolean_DLL_R)\ + $(TARG_EX_character_DLL_R)\ + $(TARG_EX_reals_DLL_R)\ + $(TARG_EX_voidptrs_DLL_R)\ + $(TARG_EX_ff_101_DLL_R)\ + $(TARG_EX_ff_101_nons_DLL_R)\ + $(TARG_EX_flush_DLL_R)\ + $(TARG_EX_ins_hex_DLL_R)\ + $(TARG_EX_ins_integers_DLL_R)\ + $(TARG_EX_ins_reals_DLL_R)\ + $(TARG_EX_ins_WLIs_DLL_R)\ + $(TARG_EX_itr_fmt_DLL_R)\ + $(TARG_EX_ovld2_filt_DLL_R)\ + $(TARG_EX_ovld2_ins_DLL_R)\ + $(TARG_EX_ovld2_sas_DLL_R)\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_pad_hash_DLL_R)\ + $(TARG_EX_sink_cstr_DLL_R)\ + $(TARG_EX_sink_ODS_DLL_R)\ + $(TARG_EX_boolean_DLL_R_W)\ + $(TARG_EX_character_DLL_R_W)\ + $(TARG_EX_reals_DLL_R_W)\ + $(TARG_EX_voidptrs_DLL_R_W)\ + $(TARG_EX_itr_fmt_DLL_R_W)\ + $(TARG_EX_sink_ODS_DLL_R_W)\ + $(TARG_EX_boolean_MT_R)\ + $(TARG_EX_character_MT_R)\ + $(TARG_EX_reals_MT_R)\ + $(TARG_EX_voidptrs_MT_R)\ + $(TARG_EX_ff_101_MT_R)\ + $(TARG_EX_ff_101_nons_MT_R)\ + $(TARG_EX_flush_MT_R)\ + $(TARG_EX_ins_hex_MT_R)\ + $(TARG_EX_ins_integers_MT_R)\ + $(TARG_EX_ins_reals_MT_R)\ + $(TARG_EX_ins_WLIs_MT_R)\ + $(TARG_EX_itr_fmt_MT_R)\ + $(TARG_EX_ovld2_filt_MT_R)\ + $(TARG_EX_ovld2_ins_MT_R)\ + $(TARG_EX_ovld2_sas_MT_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + $(TARG_EX_pad_hash_MT_R)\ + $(TARG_EX_sink_cstr_MT_R)\ + $(TARG_EX_sink_ODS_MT_R)\ + $(TARG_EX_boolean_MT_R_W)\ + $(TARG_EX_character_MT_R_W)\ + $(TARG_EX_reals_MT_R_W)\ + $(TARG_EX_voidptrs_MT_R_W)\ + $(TARG_EX_itr_fmt_MT_R_W)\ + $(TARG_EX_sink_ODS_MT_R_W)\ + \ + +TARGETS_EXAMPLE_OVERLOAD_3=\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + \ + +TARGETS_SAMPLE=\ + \ + +TARGETS_TEST_COMPONENT=\ + $(TARG_TEST_COMP_file_MT_D)\ + $(TARG_TEST_COMP_fmt_sink_MT_D)\ + $(TARG_TEST_COMP_ins_hex_MT_D)\ + $(TARG_TEST_COMP_ins_int_MT_D)\ + $(TARG_TEST_COMP_ins_real_MT_D)\ + $(TARG_TEST_COMP_itr_fmt_MT_D)\ + $(TARG_TEST_COMP_null_sink_MT_D)\ + $(TARG_TEST_COMP_snk_a_b_MT_D)\ + $(TARG_TEST_COMP_write_sink_MT_D)\ + $(TARG_TEST_COMP_x_1_MT_D)\ + $(TARG_TEST_COMP_snk_ostm_MT_D)\ + $(TARG_TEST_COMP_file_MT_D_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_D_W)\ + $(TARG_TEST_COMP_ins_hex_MT_D_W)\ + $(TARG_TEST_COMP_ins_int_MT_D_W)\ + $(TARG_TEST_COMP_ins_real_MT_D_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_D_W)\ + $(TARG_TEST_COMP_null_sink_MT_D_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_D_W)\ + $(TARG_TEST_COMP_write_sink_MT_D_W)\ + $(TARG_TEST_COMP_x_1_MT_D_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_D_W)\ + $(TARG_TEST_COMP_file_DLL_D)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D)\ + $(TARG_TEST_COMP_ins_hex_DLL_D)\ + $(TARG_TEST_COMP_ins_int_DLL_D)\ + $(TARG_TEST_COMP_ins_real_DLL_D)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D)\ + $(TARG_TEST_COMP_null_sink_DLL_D)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D)\ + $(TARG_TEST_COMP_write_sink_DLL_D)\ + $(TARG_TEST_COMP_x_1_DLL_D)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D)\ + $(TARG_TEST_COMP_file_DLL_D_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_D_W)\ + $(TARG_TEST_COMP_ins_int_DLL_D_W)\ + $(TARG_TEST_COMP_ins_real_DLL_D_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D_W)\ + $(TARG_TEST_COMP_null_sink_DLL_D_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D_W)\ + $(TARG_TEST_COMP_write_sink_DLL_D_W)\ + $(TARG_TEST_COMP_x_1_DLL_D_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D_W)\ + $(TARG_TEST_COMP_file_DLL_R)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R)\ + $(TARG_TEST_COMP_ins_hex_DLL_R)\ + $(TARG_TEST_COMP_ins_int_DLL_R)\ + $(TARG_TEST_COMP_ins_real_DLL_R)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R)\ + $(TARG_TEST_COMP_null_sink_DLL_R)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R)\ + $(TARG_TEST_COMP_write_sink_DLL_R)\ + $(TARG_TEST_COMP_x_1_DLL_R)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R)\ + $(TARG_TEST_COMP_file_DLL_R_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_R_W)\ + $(TARG_TEST_COMP_ins_int_DLL_R_W)\ + $(TARG_TEST_COMP_ins_real_DLL_R_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R_W)\ + $(TARG_TEST_COMP_null_sink_DLL_R_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R_W)\ + $(TARG_TEST_COMP_write_sink_DLL_R_W)\ + $(TARG_TEST_COMP_x_1_DLL_R_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R_W)\ + $(TARG_TEST_COMP_file_MT_R)\ + $(TARG_TEST_COMP_fmt_sink_MT_R)\ + $(TARG_TEST_COMP_ins_hex_MT_R)\ + $(TARG_TEST_COMP_ins_int_MT_R)\ + $(TARG_TEST_COMP_ins_real_MT_R)\ + $(TARG_TEST_COMP_itr_fmt_MT_R)\ + $(TARG_TEST_COMP_null_sink_MT_R)\ + $(TARG_TEST_COMP_snk_a_b_MT_R)\ + $(TARG_TEST_COMP_write_sink_MT_R)\ + $(TARG_TEST_COMP_x_1_MT_R)\ + $(TARG_TEST_COMP_snk_ostm_MT_R)\ + $(TARG_TEST_COMP_file_MT_R_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_R_W)\ + $(TARG_TEST_COMP_ins_hex_MT_R_W)\ + $(TARG_TEST_COMP_ins_int_MT_R_W)\ + $(TARG_TEST_COMP_ins_real_MT_R_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_R_W)\ + $(TARG_TEST_COMP_null_sink_MT_R_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_R_W)\ + $(TARG_TEST_COMP_write_sink_MT_R_W)\ + $(TARG_TEST_COMP_x_1_MT_R_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +TARGETS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +TARGETS_TEST_PERFORMANCE_BOOST=\ + $(TARG_TEST_PERF_scen8_MT_D)\ + $(TARG_TEST_PERF_scen8_DLL_D)\ + $(TARG_TEST_PERF_scen8_DLL_R)\ + $(TARG_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +TARGETS_TEST_PERFORMANCE=\ + $(TARG_TEST_PERF_scen1_MT_D)\ + $(TARG_TEST_PERF_scen2_MT_D)\ + $(TARG_TEST_PERF_scen3_MT_D)\ + $(TARG_TEST_PERF_scen4_MT_D)\ + $(TARG_TEST_PERF_scen5_MT_D)\ + $(TARG_TEST_PERF_scen6_MT_D)\ + $(TARG_TEST_PERF_scen7_MT_D)\ + $(TARG_TEST_PERF_scen1_DLL_D)\ + $(TARG_TEST_PERF_scen2_DLL_D)\ + $(TARG_TEST_PERF_scen3_DLL_D)\ + $(TARG_TEST_PERF_scen4_DLL_D)\ + $(TARG_TEST_PERF_scen5_DLL_D)\ + $(TARG_TEST_PERF_scen6_DLL_D)\ + $(TARG_TEST_PERF_scen7_DLL_D)\ + $(TARG_TEST_PERF_scen1_DLL_R)\ + $(TARG_TEST_PERF_scen2_DLL_R)\ + $(TARG_TEST_PERF_scen3_DLL_R)\ + $(TARG_TEST_PERF_scen4_DLL_R)\ + $(TARG_TEST_PERF_scen5_DLL_R)\ + $(TARG_TEST_PERF_scen6_DLL_R)\ + $(TARG_TEST_PERF_scen7_DLL_R)\ + $(TARG_TEST_PERF_scen1_MT_R)\ + $(TARG_TEST_PERF_scen2_MT_R)\ + $(TARG_TEST_PERF_scen3_MT_R)\ + $(TARG_TEST_PERF_scen4_MT_R)\ + $(TARG_TEST_PERF_scen5_MT_R)\ + $(TARG_TEST_PERF_scen6_MT_R)\ + $(TARG_TEST_PERF_scen7_MT_R)\ + $(TARGETS_TEST_PERFORMANCE_BOOST)\ + \ + +TARGETS_TEST_SCRATCH=\ + $(TARG_TEST_SCRT_file_MT_D)\ + $(TARG_TEST_SCRT_speech_MT_D)\ + $(TARG_TEST_SCRT_file_MT_D_W)\ + $(TARG_TEST_SCRT_speech_MT_D_W)\ + $(TARG_TEST_SCRT_file_DLL_D)\ + $(TARG_TEST_SCRT_speech_DLL_D)\ + $(TARG_TEST_SCRT_file_DLL_D_W)\ + $(TARG_TEST_SCRT_speech_DLL_D_W)\ + $(TARG_TEST_SCRT_file_DLL_R)\ + $(TARG_TEST_SCRT_speech_DLL_R)\ + $(TARG_TEST_SCRT_file_DLL_R_W)\ + $(TARG_TEST_SCRT_speech_DLL_R_W)\ + $(TARG_TEST_SCRT_file_MT_R)\ + $(TARG_TEST_SCRT_speech_MT_R)\ + $(TARG_TEST_SCRT_file_MT_R_W)\ + $(TARG_TEST_SCRT_speech_MT_R_W)\ + \ + +TARGETS_TEST_UNIT=\ + $(TARG_TEST_UNIT_api_acsllen_MT_D)\ + $(TARG_TEST_UNIT_api_crlf_MT_D)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D)\ + $(TARG_TEST_UNIT_api_init_MT_D)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D)\ + $(TARG_TEST_UNIT_ins_ch_MT_D)\ + $(TARG_TEST_UNIT_ins_i_MT_D)\ + $(TARG_TEST_UNIT_shim_fi_MT_D)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D)\ + $(TARG_TEST_UNIT_snk_null_MT_D)\ + $(TARG_TEST_UNIT_snk_ods_MT_D)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D)\ + $(TARG_TEST_UNIT_api_acsllen_MT_D_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(TARG_TEST_UNIT_api_init_MT_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_D_W)\ + $(TARG_TEST_UNIT_ins_i_MT_D_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(TARG_TEST_UNIT_snk_null_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D)\ + $(TARG_TEST_UNIT_api_init_DLL_D)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D)\ + $(TARG_TEST_UNIT_ins_i_DLL_D)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(TARG_TEST_UNIT_snk_null_DLL_D)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_init_DLL_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_D_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R)\ + $(TARG_TEST_UNIT_api_init_DLL_R)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R)\ + $(TARG_TEST_UNIT_ins_i_DLL_R)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(TARG_TEST_UNIT_snk_null_DLL_R)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_init_DLL_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_R_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R)\ + $(TARG_TEST_UNIT_api_crlf_MT_R)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R)\ + $(TARG_TEST_UNIT_api_init_MT_R)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R)\ + $(TARG_TEST_UNIT_ins_ch_MT_R)\ + $(TARG_TEST_UNIT_ins_i_MT_R)\ + $(TARG_TEST_UNIT_shim_fi_MT_R)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R)\ + $(TARG_TEST_UNIT_snk_null_MT_R)\ + $(TARG_TEST_UNIT_snk_ods_MT_R)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(TARG_TEST_UNIT_api_init_MT_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_R_W)\ + $(TARG_TEST_UNIT_ins_i_MT_R_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(TARG_TEST_UNIT_snk_null_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] )\ + +############################################################ +# Third-party libraries + + + + + + + + + +############################################################################ +# External Targets (invokable by make) + +# primary + +_default: build + +usage: + @echo "make [usage | compile | build | test | clean] - default is 'build'" + +# infrastructure + + + +prep.files.for.os: $(PROJ_MAKEFILE_NAME)\ + \ + +prep: prep.files.for.os + + +check.lib.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + +check.bin.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_BIN_DIR)\NUL mkdir $(PROJ_BIN_DIR) + + +# compile targets + +compile.libs.core: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_CORE) + +compile.libs.loki.safefmt: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_LOKI_SFMT) + +compile.libs.shwild: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SHWILD) + +compile.libs.xtests: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_XTESTS) + +compile.libs: compile.libs.core compile.libs.loki.safefmt compile.libs.shwild compile.libs.xtests + +compile.bitbucket: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_BITBUCKET) + +compile.examples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_EXAMPLE) + +compile.samples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SAMPLE) + +compile.test.component: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_COMPONENT) + +compile.test.performance: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_PERFORMANCE) + +compile.test.scratch: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_SCRATCH) + +compile.test.unit: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_UNIT) + +compile.test: compile.test.unit compile.test.component + +compile.test.all: compile.test compile.test.scratch compile.test.performance + +compile: compile.libs compile.test compile.examples compile.samples + +compile.all: compile compile.test.all + + + +# build targets + +build.libs.core: check.lib.dir prep.files.for.os $(TARGETS_LIB_CORE) + +build.libs.loki.safefmt: check.lib.dir prep.files.for.os $(TARGETS_LIB_LOKI_SFMT) + +build.libs.shwild: check.lib.dir prep.files.for.os $(TARGETS_LIB_SHWILD) + +build.libs.xtests: check.lib.dir prep.files.for.os $(TARGETS_LIB_XTESTS) + +build.libs: build.libs.core build.libs.loki.safefmt build.libs.shwild build.libs.xtests + +build.bitbucket: check.bin.dir prep.files.for.os $(TARGETS_BITBUCKET) + +build.examples: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE) + +build.examples.overload.3: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE_OVERLOAD_3) + +build.samples: check.bin.dir prep.files.for.os $(TARGETS_SAMPLE) + +build.test.component: check.bin.dir prep.files.for.os $(TARGETS_TEST_COMPONENT) + +build.test.performance: check.bin.dir prep.files.for.os $(TARGETS_TEST_PERFORMANCE) + +build.test.scratch: check.bin.dir prep.files.for.os $(TARGETS_TEST_SCRATCH) + +build.test.unit: check.bin.dir prep.files.for.os $(TARGETS_TEST_UNIT) + +build.test: build.test.unit build.test.component build.test.performance + +build.test.all: build.test build.test.scratch + +build: build.libs build.examples build.samples build.test + +build.all: build build.test.all + + + +# test targets + +test.component_: + @echo Running Test:Component programs ... + $(TARG_TEST_COMP_file_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.performance_: + @echo Running Test:Performance programs ... + $(TARG_TEST_PERF_scen1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_DLL_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_DLL_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + $(TARG_TEST_PERF_scen1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_MT_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_MT_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + +test.scratch_: + @echo Running Test:Scratch programs ... + $(TARG_TEST_SCRT_file_MT_D) + $(TARG_TEST_SCRT_speech_MT_D) + $(TARG_TEST_SCRT_file_MT_D_W) + $(TARG_TEST_SCRT_speech_MT_D_W) + $(TARG_TEST_SCRT_file_DLL_D) + $(TARG_TEST_SCRT_speech_DLL_D) + $(TARG_TEST_SCRT_file_DLL_D_W) + $(TARG_TEST_SCRT_speech_DLL_D_W) + $(TARG_TEST_SCRT_file_DLL_R) + $(TARG_TEST_SCRT_speech_DLL_R) + $(TARG_TEST_SCRT_file_DLL_R_W) + $(TARG_TEST_SCRT_speech_DLL_R_W) + $(TARG_TEST_SCRT_file_MT_R) + $(TARG_TEST_SCRT_speech_MT_R) + $(TARG_TEST_SCRT_file_MT_R_W) + $(TARG_TEST_SCRT_speech_MT_R_W) + +test.unit_: + @echo Running Test:Unit programs ... + $(TARG_TEST_UNIT_api_acsllen_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] ) --verbosity=$(TEST_VERBOSITY) + +test.examples_: + @echo Running Example programs ... + $(TARG_EX_boolean_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_integers_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_reals_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_WLIs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld2_filt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_tabs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_svrlg_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_rcins_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_pad_hash_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_cstr_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_ODS_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D_W) + $(TARG_EX_sink_ODS_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_integers_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_WLIs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld2_filt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_tabs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_svrlg_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_rcins_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_pad_hash_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_cstr_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_ODS_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D_W) + $(TARG_EX_sink_ODS_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_integers_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_WLIs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld2_filt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_pad_hash_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_cstr_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_ODS_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R_W) + $(TARG_EX_sink_ODS_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_integers_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_reals_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_WLIs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld2_filt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_pad_hash_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_cstr_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_ODS_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R_W) + $(TARG_EX_sink_ODS_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.examples.overload.3_: + @echo Running Overload 3 Example programs ... + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + +test.component: build.test.component test.component_ + +test.performance: build.test.performance test.performance_ + +test.scratch: build.test.scratch test.scratch_ + +test.unit: build.test.unit test.unit_ + +test.examples: build.examples test.examples_ + +test.examples.overload.3: build.examples.overload.3 test.examples.overload.3_ + +test: test.unit test.component + +test.all: build.all test test.performance test.scratch test.examples +test-all: test.all + + + +# clean targets + +clean.libs.core: + @echo cleaning Core libraries ... + @-if exist core.*.obj $(RM) core.*.obj + @-if exist core.*.pdb $(RM) core.*.pdb + @-if exist core.*.manifest $(RM) core.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.loki.safefmt: + @echo cleaning Loki.SafeFormat libraries ... + @-if exist loki.safefmt.*.obj $(RM) loki.safefmt.*.obj + @-if exist loki.safefmt.*.pdb $(RM) loki.safefmt.*.pdb + @-if exist loki.safefmt.*.manifest $(RM) loki.safefmt.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.shwild: + @echo cleaning shwild libraries ... + @-if exist shwild.*.obj $(RM) shwild.*.obj + @-if exist shwild.*.pdb $(RM) shwild.*.pdb + @-if exist shwild.*.manifest $(RM) shwild.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.xtests: + @echo cleaning xTests libraries ... + @-if exist xtests.*.obj $(RM) xtests.*.obj + @-if exist xtests.*.pdb $(RM) xtests.*.pdb + @-if exist xtests.*.manifest $(RM) xtests.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs: clean.libs.core clean.libs.loki.safefmt clean.libs.shwild clean.libs.xtests + +clean.bitbucket: + @echo cleaning Bitbucket programs ... + @-if exist $(TARG_BITBUCKET_MT_D) $(RM) $(TARG_BITBUCKET_MT_D) + @-if exist $(OBJ_BITBUCKET_MT_D) $(RM) $(OBJ_BITBUCKET_MT_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_D_W) $(RM) $(TARG_BITBUCKET_MT_D_W) + @-if exist $(OBJ_BITBUCKET_MT_D_W) $(RM) $(OBJ_BITBUCKET_MT_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D) $(RM) $(TARG_BITBUCKET_DLL_D) + @-if exist $(OBJ_BITBUCKET_DLL_D) $(RM) $(OBJ_BITBUCKET_DLL_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D_W) $(RM) $(TARG_BITBUCKET_DLL_D_W) + @-if exist $(OBJ_BITBUCKET_DLL_D_W) $(RM) $(OBJ_BITBUCKET_DLL_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R) $(RM) $(TARG_BITBUCKET_DLL_R) + @-if exist $(OBJ_BITBUCKET_DLL_R) $(RM) $(OBJ_BITBUCKET_DLL_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R_W) $(RM) $(TARG_BITBUCKET_DLL_R_W) + @-if exist $(OBJ_BITBUCKET_DLL_R_W) $(RM) $(OBJ_BITBUCKET_DLL_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R) $(RM) $(TARG_BITBUCKET_MT_R) + @-if exist $(OBJ_BITBUCKET_MT_R) $(RM) $(OBJ_BITBUCKET_MT_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R_W) $(RM) $(TARG_BITBUCKET_MT_R_W) + @-if exist $(OBJ_BITBUCKET_MT_R_W) $(RM) $(OBJ_BITBUCKET_MT_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + +clean.examples: + @echo cleaning Example programs ... + @-if exist $(TARG_EX_boolean_MT_D) $(RM) $(TARG_EX_boolean_MT_D) + @-if exist $(OBJ_EX_boolean_MT_D) $(RM) $(OBJ_EX_boolean_MT_D) + @-if exist $(TARG_EX_character_MT_D) $(RM) $(TARG_EX_character_MT_D) + @-if exist $(OBJ_EX_character_MT_D) $(RM) $(OBJ_EX_character_MT_D) + @-if exist $(TARG_EX_reals_MT_D) $(RM) $(TARG_EX_reals_MT_D) + @-if exist $(OBJ_EX_reals_MT_D) $(RM) $(OBJ_EX_reals_MT_D) + @-if exist $(TARG_EX_voidptrs_MT_D) $(RM) $(TARG_EX_voidptrs_MT_D) + @-if exist $(OBJ_EX_voidptrs_MT_D) $(RM) $(OBJ_EX_voidptrs_MT_D) + @-if exist $(TARG_EX_ff_101_MT_D) $(RM) $(TARG_EX_ff_101_MT_D) + @-if exist $(OBJ_EX_ff_101_MT_D) $(RM) $(OBJ_EX_ff_101_MT_D) + @-if exist $(TARG_EX_ff_101_nons_MT_D) $(RM) $(TARG_EX_ff_101_nons_MT_D) + @-if exist $(OBJ_EX_ff_101_nons_MT_D) $(RM) $(OBJ_EX_ff_101_nons_MT_D) + @-if exist $(TARG_EX_flush_MT_D) $(RM) $(TARG_EX_flush_MT_D) + @-if exist $(OBJ_EX_flush_MT_D) $(RM) $(OBJ_EX_flush_MT_D) + @-if exist $(TARG_EX_ins_hex_MT_D) $(RM) $(TARG_EX_ins_hex_MT_D) + @-if exist $(OBJ_EX_ins_hex_MT_D) $(RM) $(OBJ_EX_ins_hex_MT_D) + @-if exist $(TARG_EX_ins_integers_MT_D) $(RM) $(TARG_EX_ins_integers_MT_D) + @-if exist $(OBJ_EX_ins_integers_MT_D) $(RM) $(OBJ_EX_ins_integers_MT_D) + @-if exist $(TARG_EX_ins_WLIs_MT_D) $(RM) $(TARG_EX_ins_WLIs_MT_D) + @-if exist $(OBJ_EX_ins_WLIs_MT_D) $(RM) $(OBJ_EX_ins_WLIs_MT_D) + @-if exist $(TARG_EX_ins_reals_MT_D) $(RM) $(TARG_EX_ins_reals_MT_D) + @-if exist $(OBJ_EX_ins_reals_MT_D) $(RM) $(OBJ_EX_ins_reals_MT_D) + @-if exist $(TARG_EX_itr_fmt_MT_D) $(RM) $(TARG_EX_itr_fmt_MT_D) + @-if exist $(OBJ_EX_itr_fmt_MT_D) $(RM) $(OBJ_EX_itr_fmt_MT_D) + @-if exist $(TARG_EX_ovld2_filt_MT_D) $(RM) $(TARG_EX_ovld2_filt_MT_D) + @-if exist $(OBJ_EX_ovld2_filt_MT_D) $(RM) $(OBJ_EX_ovld2_filt_MT_D) + @-if exist $(TARG_EX_ovld2_ins_MT_D) $(RM) $(TARG_EX_ovld2_ins_MT_D) + @-if exist $(OBJ_EX_ovld2_ins_MT_D) $(RM) $(OBJ_EX_ovld2_ins_MT_D) + @-if exist $(TARG_EX_ovld2_sas_MT_D) $(RM) $(TARG_EX_ovld2_sas_MT_D) + @-if exist $(OBJ_EX_ovld2_sas_MT_D) $(RM) $(OBJ_EX_ovld2_sas_MT_D) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D) $(RM) $(TARG_EX_ovld3_fpcol_MT_D) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D) + @-if exist $(TARG_EX_ovld3_tabs_MT_D) $(RM) $(TARG_EX_ovld3_tabs_MT_D) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D) $(RM) $(OBJ_EX_ovld3_tabs_MT_D) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D) $(RM) $(TARG_EX_ovld3_svrlg_MT_D) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D) + @-if exist $(TARG_EX_ovld3_rcins_MT_D) $(RM) $(TARG_EX_ovld3_rcins_MT_D) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D) $(RM) $(OBJ_EX_ovld3_rcins_MT_D) + @-if exist $(TARG_EX_pad_hash_MT_D) $(RM) $(TARG_EX_pad_hash_MT_D) + @-if exist $(OBJ_EX_pad_hash_MT_D) $(RM) $(OBJ_EX_pad_hash_MT_D) + @-if exist $(TARG_EX_sink_cstr_MT_D) $(RM) $(TARG_EX_sink_cstr_MT_D) + @-if exist $(OBJ_EX_sink_cstr_MT_D) $(RM) $(OBJ_EX_sink_cstr_MT_D) + @-if exist $(TARG_EX_sink_ODS_MT_D) $(RM) $(TARG_EX_sink_ODS_MT_D) + @-if exist $(OBJ_EX_sink_ODS_MT_D) $(RM) $(OBJ_EX_sink_ODS_MT_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_D_W) $(RM) $(TARG_EX_boolean_MT_D_W) + @-if exist $(OBJ_EX_boolean_MT_D_W) $(RM) $(OBJ_EX_boolean_MT_D_W) + @-if exist $(TARG_EX_character_MT_D_W) $(RM) $(TARG_EX_character_MT_D_W) + @-if exist $(OBJ_EX_character_MT_D_W) $(RM) $(OBJ_EX_character_MT_D_W) + @-if exist $(TARG_EX_reals_MT_D_W) $(RM) $(TARG_EX_reals_MT_D_W) + @-if exist $(OBJ_EX_reals_MT_D_W) $(RM) $(OBJ_EX_reals_MT_D_W) + @-if exist $(TARG_EX_voidptrs_MT_D_W) $(RM) $(TARG_EX_voidptrs_MT_D_W) + @-if exist $(OBJ_EX_voidptrs_MT_D_W) $(RM) $(OBJ_EX_voidptrs_MT_D_W) + @-if exist $(TARG_EX_ff_101_MT_D_W) $(RM) $(TARG_EX_ff_101_MT_D_W) + @-if exist $(OBJ_EX_ff_101_MT_D_W) $(RM) $(OBJ_EX_ff_101_MT_D_W) + @-if exist $(TARG_EX_ff_101_nons_MT_D_W) $(RM) $(TARG_EX_ff_101_nons_MT_D_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_D_W) $(RM) $(OBJ_EX_ff_101_nons_MT_D_W) + @-if exist $(TARG_EX_flush_MT_D_W) $(RM) $(TARG_EX_flush_MT_D_W) + @-if exist $(OBJ_EX_flush_MT_D_W) $(RM) $(OBJ_EX_flush_MT_D_W) + @-if exist $(TARG_EX_ins_hex_MT_D_W) $(RM) $(TARG_EX_ins_hex_MT_D_W) + @-if exist $(OBJ_EX_ins_hex_MT_D_W) $(RM) $(OBJ_EX_ins_hex_MT_D_W) + @-if exist $(TARG_EX_ins_integers_MT_D_W) $(RM) $(TARG_EX_ins_integers_MT_D_W) + @-if exist $(OBJ_EX_ins_integers_MT_D_W) $(RM) $(OBJ_EX_ins_integers_MT_D_W) + @-if exist $(TARG_EX_ins_WLIs_MT_D_W) $(RM) $(TARG_EX_ins_WLIs_MT_D_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_D_W) $(RM) $(OBJ_EX_ins_WLIs_MT_D_W) + @-if exist $(TARG_EX_ins_reals_MT_D_W) $(RM) $(TARG_EX_ins_reals_MT_D_W) + @-if exist $(OBJ_EX_ins_reals_MT_D_W) $(RM) $(OBJ_EX_ins_reals_MT_D_W) + @-if exist $(TARG_EX_itr_fmt_MT_D_W) $(RM) $(TARG_EX_itr_fmt_MT_D_W) + @-if exist $(OBJ_EX_itr_fmt_MT_D_W) $(RM) $(OBJ_EX_itr_fmt_MT_D_W) + @-if exist $(TARG_EX_ovld2_filt_MT_D_W) $(RM) $(TARG_EX_ovld2_filt_MT_D_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_D_W) $(RM) $(OBJ_EX_ovld2_filt_MT_D_W) + @-if exist $(TARG_EX_ovld2_ins_MT_D_W) $(RM) $(TARG_EX_ovld2_ins_MT_D_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_D_W) $(RM) $(OBJ_EX_ovld2_ins_MT_D_W) + @-if exist $(TARG_EX_ovld2_sas_MT_D_W) $(RM) $(TARG_EX_ovld2_sas_MT_D_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_D_W) $(RM) $(OBJ_EX_ovld2_sas_MT_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_D_W) $(RM) $(TARG_EX_ovld3_tabs_MT_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_D_W) $(RM) $(TARG_EX_ovld3_rcins_MT_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_D_W) + @-if exist $(TARG_EX_pad_hash_MT_D_W) $(RM) $(TARG_EX_pad_hash_MT_D_W) + @-if exist $(OBJ_EX_pad_hash_MT_D_W) $(RM) $(OBJ_EX_pad_hash_MT_D_W) + @-if exist $(TARG_EX_sink_cstr_MT_D_W) $(RM) $(TARG_EX_sink_cstr_MT_D_W) + @-if exist $(OBJ_EX_sink_cstr_MT_D_W) $(RM) $(OBJ_EX_sink_cstr_MT_D_W) + @-if exist $(TARG_EX_sink_ODS_MT_D_W) $(RM) $(TARG_EX_sink_ODS_MT_D_W) + @-if exist $(OBJ_EX_sink_ODS_MT_D_W) $(RM) $(OBJ_EX_sink_ODS_MT_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D) $(RM) $(TARG_EX_boolean_DLL_D) + @-if exist $(OBJ_EX_boolean_DLL_D) $(RM) $(OBJ_EX_boolean_DLL_D) + @-if exist $(TARG_EX_character_DLL_D) $(RM) $(TARG_EX_character_DLL_D) + @-if exist $(OBJ_EX_character_DLL_D) $(RM) $(OBJ_EX_character_DLL_D) + @-if exist $(TARG_EX_reals_DLL_D) $(RM) $(TARG_EX_reals_DLL_D) + @-if exist $(OBJ_EX_reals_DLL_D) $(RM) $(OBJ_EX_reals_DLL_D) + @-if exist $(TARG_EX_voidptrs_DLL_D) $(RM) $(TARG_EX_voidptrs_DLL_D) + @-if exist $(OBJ_EX_voidptrs_DLL_D) $(RM) $(OBJ_EX_voidptrs_DLL_D) + @-if exist $(TARG_EX_ff_101_DLL_D) $(RM) $(TARG_EX_ff_101_DLL_D) + @-if exist $(OBJ_EX_ff_101_DLL_D) $(RM) $(OBJ_EX_ff_101_DLL_D) + @-if exist $(TARG_EX_ff_101_nons_DLL_D) $(RM) $(TARG_EX_ff_101_nons_DLL_D) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D) $(RM) $(OBJ_EX_ff_101_nons_DLL_D) + @-if exist $(TARG_EX_flush_DLL_D) $(RM) $(TARG_EX_flush_DLL_D) + @-if exist $(OBJ_EX_flush_DLL_D) $(RM) $(OBJ_EX_flush_DLL_D) + @-if exist $(TARG_EX_ins_hex_DLL_D) $(RM) $(TARG_EX_ins_hex_DLL_D) + @-if exist $(OBJ_EX_ins_hex_DLL_D) $(RM) $(OBJ_EX_ins_hex_DLL_D) + @-if exist $(TARG_EX_ins_integers_DLL_D) $(RM) $(TARG_EX_ins_integers_DLL_D) + @-if exist $(OBJ_EX_ins_integers_DLL_D) $(RM) $(OBJ_EX_ins_integers_DLL_D) + @-if exist $(TARG_EX_ins_WLIs_DLL_D) $(RM) $(TARG_EX_ins_WLIs_DLL_D) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D) $(RM) $(OBJ_EX_ins_WLIs_DLL_D) + @-if exist $(TARG_EX_ins_reals_DLL_D) $(RM) $(TARG_EX_ins_reals_DLL_D) + @-if exist $(OBJ_EX_ins_reals_DLL_D) $(RM) $(OBJ_EX_ins_reals_DLL_D) + @-if exist $(TARG_EX_itr_fmt_DLL_D) $(RM) $(TARG_EX_itr_fmt_DLL_D) + @-if exist $(OBJ_EX_itr_fmt_DLL_D) $(RM) $(OBJ_EX_itr_fmt_DLL_D) + @-if exist $(TARG_EX_ovld2_filt_DLL_D) $(RM) $(TARG_EX_ovld2_filt_DLL_D) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D) $(RM) $(OBJ_EX_ovld2_filt_DLL_D) + @-if exist $(TARG_EX_ovld2_ins_DLL_D) $(RM) $(TARG_EX_ovld2_ins_DLL_D) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D) $(RM) $(OBJ_EX_ovld2_ins_DLL_D) + @-if exist $(TARG_EX_ovld2_sas_DLL_D) $(RM) $(TARG_EX_ovld2_sas_DLL_D) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D) $(RM) $(OBJ_EX_ovld2_sas_DLL_D) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D) $(RM) $(TARG_EX_ovld3_tabs_DLL_D) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D) $(RM) $(TARG_EX_ovld3_rcins_DLL_D) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D) + @-if exist $(TARG_EX_pad_hash_DLL_D) $(RM) $(TARG_EX_pad_hash_DLL_D) + @-if exist $(OBJ_EX_pad_hash_DLL_D) $(RM) $(OBJ_EX_pad_hash_DLL_D) + @-if exist $(TARG_EX_sink_cstr_DLL_D) $(RM) $(TARG_EX_sink_cstr_DLL_D) + @-if exist $(OBJ_EX_sink_cstr_DLL_D) $(RM) $(OBJ_EX_sink_cstr_DLL_D) + @-if exist $(TARG_EX_sink_ODS_DLL_D) $(RM) $(TARG_EX_sink_ODS_DLL_D) + @-if exist $(OBJ_EX_sink_ODS_DLL_D) $(RM) $(OBJ_EX_sink_ODS_DLL_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D_W) $(RM) $(TARG_EX_boolean_DLL_D_W) + @-if exist $(OBJ_EX_boolean_DLL_D_W) $(RM) $(OBJ_EX_boolean_DLL_D_W) + @-if exist $(TARG_EX_character_DLL_D_W) $(RM) $(TARG_EX_character_DLL_D_W) + @-if exist $(OBJ_EX_character_DLL_D_W) $(RM) $(OBJ_EX_character_DLL_D_W) + @-if exist $(TARG_EX_reals_DLL_D_W) $(RM) $(TARG_EX_reals_DLL_D_W) + @-if exist $(OBJ_EX_reals_DLL_D_W) $(RM) $(OBJ_EX_reals_DLL_D_W) + @-if exist $(TARG_EX_voidptrs_DLL_D_W) $(RM) $(TARG_EX_voidptrs_DLL_D_W) + @-if exist $(OBJ_EX_voidptrs_DLL_D_W) $(RM) $(OBJ_EX_voidptrs_DLL_D_W) + @-if exist $(TARG_EX_ff_101_DLL_D_W) $(RM) $(TARG_EX_ff_101_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_DLL_D_W) $(RM) $(OBJ_EX_ff_101_DLL_D_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_D_W) $(RM) $(TARG_EX_ff_101_nons_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_D_W) + @-if exist $(TARG_EX_flush_DLL_D_W) $(RM) $(TARG_EX_flush_DLL_D_W) + @-if exist $(OBJ_EX_flush_DLL_D_W) $(RM) $(OBJ_EX_flush_DLL_D_W) + @-if exist $(TARG_EX_ins_hex_DLL_D_W) $(RM) $(TARG_EX_ins_hex_DLL_D_W) + @-if exist $(OBJ_EX_ins_hex_DLL_D_W) $(RM) $(OBJ_EX_ins_hex_DLL_D_W) + @-if exist $(TARG_EX_ins_integers_DLL_D_W) $(RM) $(TARG_EX_ins_integers_DLL_D_W) + @-if exist $(OBJ_EX_ins_integers_DLL_D_W) $(RM) $(OBJ_EX_ins_integers_DLL_D_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_D_W) $(RM) $(TARG_EX_ins_WLIs_DLL_D_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_D_W) + @-if exist $(TARG_EX_ins_reals_DLL_D_W) $(RM) $(TARG_EX_ins_reals_DLL_D_W) + @-if exist $(OBJ_EX_ins_reals_DLL_D_W) $(RM) $(OBJ_EX_ins_reals_DLL_D_W) + @-if exist $(TARG_EX_itr_fmt_DLL_D_W) $(RM) $(TARG_EX_itr_fmt_DLL_D_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_D_W) $(RM) $(OBJ_EX_itr_fmt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_D_W) $(RM) $(TARG_EX_ovld2_filt_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_D_W) $(RM) $(TARG_EX_ovld2_ins_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_D_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_D_W) $(RM) $(TARG_EX_ovld2_sas_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D_W) + @-if exist $(TARG_EX_pad_hash_DLL_D_W) $(RM) $(TARG_EX_pad_hash_DLL_D_W) + @-if exist $(OBJ_EX_pad_hash_DLL_D_W) $(RM) $(OBJ_EX_pad_hash_DLL_D_W) + @-if exist $(TARG_EX_sink_cstr_DLL_D_W) $(RM) $(TARG_EX_sink_cstr_DLL_D_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_D_W) $(RM) $(OBJ_EX_sink_cstr_DLL_D_W) + @-if exist $(TARG_EX_sink_ODS_DLL_D_W) $(RM) $(TARG_EX_sink_ODS_DLL_D_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_D_W) $(RM) $(OBJ_EX_sink_ODS_DLL_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R) $(RM) $(TARG_EX_boolean_DLL_R) + @-if exist $(OBJ_EX_boolean_DLL_R) $(RM) $(OBJ_EX_boolean_DLL_R) + @-if exist $(TARG_EX_character_DLL_R) $(RM) $(TARG_EX_character_DLL_R) + @-if exist $(OBJ_EX_character_DLL_R) $(RM) $(OBJ_EX_character_DLL_R) + @-if exist $(TARG_EX_reals_DLL_R) $(RM) $(TARG_EX_reals_DLL_R) + @-if exist $(OBJ_EX_reals_DLL_R) $(RM) $(OBJ_EX_reals_DLL_R) + @-if exist $(TARG_EX_voidptrs_DLL_R) $(RM) $(TARG_EX_voidptrs_DLL_R) + @-if exist $(OBJ_EX_voidptrs_DLL_R) $(RM) $(OBJ_EX_voidptrs_DLL_R) + @-if exist $(TARG_EX_ff_101_DLL_R) $(RM) $(TARG_EX_ff_101_DLL_R) + @-if exist $(OBJ_EX_ff_101_DLL_R) $(RM) $(OBJ_EX_ff_101_DLL_R) + @-if exist $(TARG_EX_ff_101_nons_DLL_R) $(RM) $(TARG_EX_ff_101_nons_DLL_R) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R) $(RM) $(OBJ_EX_ff_101_nons_DLL_R) + @-if exist $(TARG_EX_flush_DLL_R) $(RM) $(TARG_EX_flush_DLL_R) + @-if exist $(OBJ_EX_flush_DLL_R) $(RM) $(OBJ_EX_flush_DLL_R) + @-if exist $(TARG_EX_ins_hex_DLL_R) $(RM) $(TARG_EX_ins_hex_DLL_R) + @-if exist $(OBJ_EX_ins_hex_DLL_R) $(RM) $(OBJ_EX_ins_hex_DLL_R) + @-if exist $(TARG_EX_ins_integers_DLL_R) $(RM) $(TARG_EX_ins_integers_DLL_R) + @-if exist $(OBJ_EX_ins_integers_DLL_R) $(RM) $(OBJ_EX_ins_integers_DLL_R) + @-if exist $(TARG_EX_ins_WLIs_DLL_R) $(RM) $(TARG_EX_ins_WLIs_DLL_R) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R) $(RM) $(OBJ_EX_ins_WLIs_DLL_R) + @-if exist $(TARG_EX_ins_reals_DLL_R) $(RM) $(TARG_EX_ins_reals_DLL_R) + @-if exist $(OBJ_EX_ins_reals_DLL_R) $(RM) $(OBJ_EX_ins_reals_DLL_R) + @-if exist $(TARG_EX_itr_fmt_DLL_R) $(RM) $(TARG_EX_itr_fmt_DLL_R) + @-if exist $(OBJ_EX_itr_fmt_DLL_R) $(RM) $(OBJ_EX_itr_fmt_DLL_R) + @-if exist $(TARG_EX_ovld2_filt_DLL_R) $(RM) $(TARG_EX_ovld2_filt_DLL_R) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R) $(RM) $(OBJ_EX_ovld2_filt_DLL_R) + @-if exist $(TARG_EX_ovld2_ins_DLL_R) $(RM) $(TARG_EX_ovld2_ins_DLL_R) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R) $(RM) $(OBJ_EX_ovld2_ins_DLL_R) + @-if exist $(TARG_EX_ovld2_sas_DLL_R) $(RM) $(TARG_EX_ovld2_sas_DLL_R) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R) $(RM) $(OBJ_EX_ovld2_sas_DLL_R) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R) $(RM) $(TARG_EX_ovld3_tabs_DLL_R) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R) $(RM) $(TARG_EX_ovld3_rcins_DLL_R) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R) + @-if exist $(TARG_EX_pad_hash_DLL_R) $(RM) $(TARG_EX_pad_hash_DLL_R) + @-if exist $(OBJ_EX_pad_hash_DLL_R) $(RM) $(OBJ_EX_pad_hash_DLL_R) + @-if exist $(TARG_EX_sink_cstr_DLL_R) $(RM) $(TARG_EX_sink_cstr_DLL_R) + @-if exist $(OBJ_EX_sink_cstr_DLL_R) $(RM) $(OBJ_EX_sink_cstr_DLL_R) + @-if exist $(TARG_EX_sink_ODS_DLL_R) $(RM) $(TARG_EX_sink_ODS_DLL_R) + @-if exist $(OBJ_EX_sink_ODS_DLL_R) $(RM) $(OBJ_EX_sink_ODS_DLL_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R_W) $(RM) $(TARG_EX_boolean_DLL_R_W) + @-if exist $(OBJ_EX_boolean_DLL_R_W) $(RM) $(OBJ_EX_boolean_DLL_R_W) + @-if exist $(TARG_EX_character_DLL_R_W) $(RM) $(TARG_EX_character_DLL_R_W) + @-if exist $(OBJ_EX_character_DLL_R_W) $(RM) $(OBJ_EX_character_DLL_R_W) + @-if exist $(TARG_EX_reals_DLL_R_W) $(RM) $(TARG_EX_reals_DLL_R_W) + @-if exist $(OBJ_EX_reals_DLL_R_W) $(RM) $(OBJ_EX_reals_DLL_R_W) + @-if exist $(TARG_EX_voidptrs_DLL_R_W) $(RM) $(TARG_EX_voidptrs_DLL_R_W) + @-if exist $(OBJ_EX_voidptrs_DLL_R_W) $(RM) $(OBJ_EX_voidptrs_DLL_R_W) + @-if exist $(TARG_EX_ff_101_DLL_R_W) $(RM) $(TARG_EX_ff_101_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_DLL_R_W) $(RM) $(OBJ_EX_ff_101_DLL_R_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_R_W) $(RM) $(TARG_EX_ff_101_nons_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_R_W) + @-if exist $(TARG_EX_flush_DLL_R_W) $(RM) $(TARG_EX_flush_DLL_R_W) + @-if exist $(OBJ_EX_flush_DLL_R_W) $(RM) $(OBJ_EX_flush_DLL_R_W) + @-if exist $(TARG_EX_ins_hex_DLL_R_W) $(RM) $(TARG_EX_ins_hex_DLL_R_W) + @-if exist $(OBJ_EX_ins_hex_DLL_R_W) $(RM) $(OBJ_EX_ins_hex_DLL_R_W) + @-if exist $(TARG_EX_ins_integers_DLL_R_W) $(RM) $(TARG_EX_ins_integers_DLL_R_W) + @-if exist $(OBJ_EX_ins_integers_DLL_R_W) $(RM) $(OBJ_EX_ins_integers_DLL_R_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_R_W) $(RM) $(TARG_EX_ins_WLIs_DLL_R_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_R_W) + @-if exist $(TARG_EX_ins_reals_DLL_R_W) $(RM) $(TARG_EX_ins_reals_DLL_R_W) + @-if exist $(OBJ_EX_ins_reals_DLL_R_W) $(RM) $(OBJ_EX_ins_reals_DLL_R_W) + @-if exist $(TARG_EX_itr_fmt_DLL_R_W) $(RM) $(TARG_EX_itr_fmt_DLL_R_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_R_W) $(RM) $(OBJ_EX_itr_fmt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_R_W) $(RM) $(TARG_EX_ovld2_filt_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_R_W) $(RM) $(TARG_EX_ovld2_ins_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_R_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_R_W) $(RM) $(TARG_EX_ovld2_sas_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R_W) + @-if exist $(TARG_EX_pad_hash_DLL_R_W) $(RM) $(TARG_EX_pad_hash_DLL_R_W) + @-if exist $(OBJ_EX_pad_hash_DLL_R_W) $(RM) $(OBJ_EX_pad_hash_DLL_R_W) + @-if exist $(TARG_EX_sink_cstr_DLL_R_W) $(RM) $(TARG_EX_sink_cstr_DLL_R_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_R_W) $(RM) $(OBJ_EX_sink_cstr_DLL_R_W) + @-if exist $(TARG_EX_sink_ODS_DLL_R_W) $(RM) $(TARG_EX_sink_ODS_DLL_R_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_R_W) $(RM) $(OBJ_EX_sink_ODS_DLL_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R) $(RM) $(TARG_EX_boolean_MT_R) + @-if exist $(OBJ_EX_boolean_MT_R) $(RM) $(OBJ_EX_boolean_MT_R) + @-if exist $(TARG_EX_character_MT_R) $(RM) $(TARG_EX_character_MT_R) + @-if exist $(OBJ_EX_character_MT_R) $(RM) $(OBJ_EX_character_MT_R) + @-if exist $(TARG_EX_reals_MT_R) $(RM) $(TARG_EX_reals_MT_R) + @-if exist $(OBJ_EX_reals_MT_R) $(RM) $(OBJ_EX_reals_MT_R) + @-if exist $(TARG_EX_voidptrs_MT_R) $(RM) $(TARG_EX_voidptrs_MT_R) + @-if exist $(OBJ_EX_voidptrs_MT_R) $(RM) $(OBJ_EX_voidptrs_MT_R) + @-if exist $(TARG_EX_ff_101_MT_R) $(RM) $(TARG_EX_ff_101_MT_R) + @-if exist $(OBJ_EX_ff_101_MT_R) $(RM) $(OBJ_EX_ff_101_MT_R) + @-if exist $(TARG_EX_ff_101_nons_MT_R) $(RM) $(TARG_EX_ff_101_nons_MT_R) + @-if exist $(OBJ_EX_ff_101_nons_MT_R) $(RM) $(OBJ_EX_ff_101_nons_MT_R) + @-if exist $(TARG_EX_flush_MT_R) $(RM) $(TARG_EX_flush_MT_R) + @-if exist $(OBJ_EX_flush_MT_R) $(RM) $(OBJ_EX_flush_MT_R) + @-if exist $(TARG_EX_ins_hex_MT_R) $(RM) $(TARG_EX_ins_hex_MT_R) + @-if exist $(OBJ_EX_ins_hex_MT_R) $(RM) $(OBJ_EX_ins_hex_MT_R) + @-if exist $(TARG_EX_ins_integers_MT_R) $(RM) $(TARG_EX_ins_integers_MT_R) + @-if exist $(OBJ_EX_ins_integers_MT_R) $(RM) $(OBJ_EX_ins_integers_MT_R) + @-if exist $(TARG_EX_ins_WLIs_MT_R) $(RM) $(TARG_EX_ins_WLIs_MT_R) + @-if exist $(OBJ_EX_ins_WLIs_MT_R) $(RM) $(OBJ_EX_ins_WLIs_MT_R) + @-if exist $(TARG_EX_ins_reals_MT_R) $(RM) $(TARG_EX_ins_reals_MT_R) + @-if exist $(OBJ_EX_ins_reals_MT_R) $(RM) $(OBJ_EX_ins_reals_MT_R) + @-if exist $(TARG_EX_itr_fmt_MT_R) $(RM) $(TARG_EX_itr_fmt_MT_R) + @-if exist $(OBJ_EX_itr_fmt_MT_R) $(RM) $(OBJ_EX_itr_fmt_MT_R) + @-if exist $(TARG_EX_ovld2_filt_MT_R) $(RM) $(TARG_EX_ovld2_filt_MT_R) + @-if exist $(OBJ_EX_ovld2_filt_MT_R) $(RM) $(OBJ_EX_ovld2_filt_MT_R) + @-if exist $(TARG_EX_ovld2_ins_MT_R) $(RM) $(TARG_EX_ovld2_ins_MT_R) + @-if exist $(OBJ_EX_ovld2_ins_MT_R) $(RM) $(OBJ_EX_ovld2_ins_MT_R) + @-if exist $(TARG_EX_ovld2_sas_MT_R) $(RM) $(TARG_EX_ovld2_sas_MT_R) + @-if exist $(OBJ_EX_ovld2_sas_MT_R) $(RM) $(OBJ_EX_ovld2_sas_MT_R) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R) $(RM) $(TARG_EX_ovld3_fpcol_MT_R) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R) + @-if exist $(TARG_EX_ovld3_tabs_MT_R) $(RM) $(TARG_EX_ovld3_tabs_MT_R) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R) $(RM) $(OBJ_EX_ovld3_tabs_MT_R) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R) $(RM) $(TARG_EX_ovld3_svrlg_MT_R) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R) + @-if exist $(TARG_EX_ovld3_rcins_MT_R) $(RM) $(TARG_EX_ovld3_rcins_MT_R) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R) $(RM) $(OBJ_EX_ovld3_rcins_MT_R) + @-if exist $(TARG_EX_pad_hash_MT_R) $(RM) $(TARG_EX_pad_hash_MT_R) + @-if exist $(OBJ_EX_pad_hash_MT_R) $(RM) $(OBJ_EX_pad_hash_MT_R) + @-if exist $(TARG_EX_sink_cstr_MT_R) $(RM) $(TARG_EX_sink_cstr_MT_R) + @-if exist $(OBJ_EX_sink_cstr_MT_R) $(RM) $(OBJ_EX_sink_cstr_MT_R) + @-if exist $(TARG_EX_sink_ODS_MT_R) $(RM) $(TARG_EX_sink_ODS_MT_R) + @-if exist $(OBJ_EX_sink_ODS_MT_R) $(RM) $(OBJ_EX_sink_ODS_MT_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R_W) $(RM) $(TARG_EX_boolean_MT_R_W) + @-if exist $(OBJ_EX_boolean_MT_R_W) $(RM) $(OBJ_EX_boolean_MT_R_W) + @-if exist $(TARG_EX_character_MT_R_W) $(RM) $(TARG_EX_character_MT_R_W) + @-if exist $(OBJ_EX_character_MT_R_W) $(RM) $(OBJ_EX_character_MT_R_W) + @-if exist $(TARG_EX_reals_MT_R_W) $(RM) $(TARG_EX_reals_MT_R_W) + @-if exist $(OBJ_EX_reals_MT_R_W) $(RM) $(OBJ_EX_reals_MT_R_W) + @-if exist $(TARG_EX_voidptrs_MT_R_W) $(RM) $(TARG_EX_voidptrs_MT_R_W) + @-if exist $(OBJ_EX_voidptrs_MT_R_W) $(RM) $(OBJ_EX_voidptrs_MT_R_W) + @-if exist $(TARG_EX_ff_101_MT_R_W) $(RM) $(TARG_EX_ff_101_MT_R_W) + @-if exist $(OBJ_EX_ff_101_MT_R_W) $(RM) $(OBJ_EX_ff_101_MT_R_W) + @-if exist $(TARG_EX_ff_101_nons_MT_R_W) $(RM) $(TARG_EX_ff_101_nons_MT_R_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_R_W) $(RM) $(OBJ_EX_ff_101_nons_MT_R_W) + @-if exist $(TARG_EX_flush_MT_R_W) $(RM) $(TARG_EX_flush_MT_R_W) + @-if exist $(OBJ_EX_flush_MT_R_W) $(RM) $(OBJ_EX_flush_MT_R_W) + @-if exist $(TARG_EX_ins_hex_MT_R_W) $(RM) $(TARG_EX_ins_hex_MT_R_W) + @-if exist $(OBJ_EX_ins_hex_MT_R_W) $(RM) $(OBJ_EX_ins_hex_MT_R_W) + @-if exist $(TARG_EX_ins_integers_MT_R_W) $(RM) $(TARG_EX_ins_integers_MT_R_W) + @-if exist $(OBJ_EX_ins_integers_MT_R_W) $(RM) $(OBJ_EX_ins_integers_MT_R_W) + @-if exist $(TARG_EX_ins_WLIs_MT_R_W) $(RM) $(TARG_EX_ins_WLIs_MT_R_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_R_W) $(RM) $(OBJ_EX_ins_WLIs_MT_R_W) + @-if exist $(TARG_EX_ins_reals_MT_R_W) $(RM) $(TARG_EX_ins_reals_MT_R_W) + @-if exist $(OBJ_EX_ins_reals_MT_R_W) $(RM) $(OBJ_EX_ins_reals_MT_R_W) + @-if exist $(TARG_EX_itr_fmt_MT_R_W) $(RM) $(TARG_EX_itr_fmt_MT_R_W) + @-if exist $(OBJ_EX_itr_fmt_MT_R_W) $(RM) $(OBJ_EX_itr_fmt_MT_R_W) + @-if exist $(TARG_EX_ovld2_filt_MT_R_W) $(RM) $(TARG_EX_ovld2_filt_MT_R_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_R_W) $(RM) $(OBJ_EX_ovld2_filt_MT_R_W) + @-if exist $(TARG_EX_ovld2_ins_MT_R_W) $(RM) $(TARG_EX_ovld2_ins_MT_R_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_R_W) $(RM) $(OBJ_EX_ovld2_ins_MT_R_W) + @-if exist $(TARG_EX_ovld2_sas_MT_R_W) $(RM) $(TARG_EX_ovld2_sas_MT_R_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_R_W) $(RM) $(OBJ_EX_ovld2_sas_MT_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_R_W) $(RM) $(TARG_EX_ovld3_tabs_MT_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_R_W) $(RM) $(TARG_EX_ovld3_rcins_MT_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_R_W) + @-if exist $(TARG_EX_pad_hash_MT_R_W) $(RM) $(TARG_EX_pad_hash_MT_R_W) + @-if exist $(OBJ_EX_pad_hash_MT_R_W) $(RM) $(OBJ_EX_pad_hash_MT_R_W) + @-if exist $(TARG_EX_sink_cstr_MT_R_W) $(RM) $(TARG_EX_sink_cstr_MT_R_W) + @-if exist $(OBJ_EX_sink_cstr_MT_R_W) $(RM) $(OBJ_EX_sink_cstr_MT_R_W) + @-if exist $(TARG_EX_sink_ODS_MT_R_W) $(RM) $(TARG_EX_sink_ODS_MT_R_W) + @-if exist $(OBJ_EX_sink_ODS_MT_R_W) $(RM) $(OBJ_EX_sink_ODS_MT_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + +clean.samples: + @echo cleaning Sample programs ... + +clean.test.component: + @echo cleaning Test::Component files ... + @-if exist $(TARG_TEST_COMP_file_MT_D) $(RM) $(TARG_TEST_COMP_file_MT_D) + @-if exist $(OBJ_TEST_COMP_file_MT_D) $(RM) $(OBJ_TEST_COMP_file_MT_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D) $(RM) $(TARG_TEST_COMP_ins_int_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D) $(RM) $(TARG_TEST_COMP_null_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D) $(RM) $(TARG_TEST_COMP_write_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D) + @-if exist $(TARG_TEST_COMP_x_1_MT_D) $(RM) $(TARG_TEST_COMP_x_1_MT_D) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D) $(RM) $(OBJ_TEST_COMP_x_1_MT_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_D_W) $(RM) $(TARG_TEST_COMP_file_MT_D_W) + @-if exist $(OBJ_TEST_COMP_file_MT_D_W) $(RM) $(OBJ_TEST_COMP_file_MT_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_D_W) $(RM) $(TARG_TEST_COMP_x_1_MT_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D) $(RM) $(TARG_TEST_COMP_file_DLL_D) + @-if exist $(OBJ_TEST_COMP_file_DLL_D) $(RM) $(OBJ_TEST_COMP_file_DLL_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D) $(RM) $(TARG_TEST_COMP_x_1_DLL_D) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D_W) $(RM) $(TARG_TEST_COMP_file_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_D_W) $(RM) $(OBJ_TEST_COMP_file_DLL_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R) $(RM) $(TARG_TEST_COMP_file_DLL_R) + @-if exist $(OBJ_TEST_COMP_file_DLL_R) $(RM) $(OBJ_TEST_COMP_file_DLL_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R) $(RM) $(TARG_TEST_COMP_x_1_DLL_R) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R_W) $(RM) $(TARG_TEST_COMP_file_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_R_W) $(RM) $(OBJ_TEST_COMP_file_DLL_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R) $(RM) $(TARG_TEST_COMP_file_MT_R) + @-if exist $(OBJ_TEST_COMP_file_MT_R) $(RM) $(OBJ_TEST_COMP_file_MT_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R) $(RM) $(TARG_TEST_COMP_ins_int_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R) $(RM) $(TARG_TEST_COMP_null_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R) $(RM) $(TARG_TEST_COMP_write_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R) + @-if exist $(TARG_TEST_COMP_x_1_MT_R) $(RM) $(TARG_TEST_COMP_x_1_MT_R) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R) $(RM) $(OBJ_TEST_COMP_x_1_MT_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R_W) $(RM) $(TARG_TEST_COMP_file_MT_R_W) + @-if exist $(OBJ_TEST_COMP_file_MT_R_W) $(RM) $(OBJ_TEST_COMP_file_MT_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_R_W) $(RM) $(TARG_TEST_COMP_x_1_MT_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + +clean.test.performance: + @echo cleaning Test::Performance files ... + @-if exist $(TARG_TEST_PERF_scen1_MT_D) $(RM) $(TARG_TEST_PERF_scen1_MT_D) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D) $(RM) $(OBJ_TEST_PERF_scen1_MT_D) + @-if exist $(TARG_TEST_PERF_scen2_MT_D) $(RM) $(TARG_TEST_PERF_scen2_MT_D) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D) $(RM) $(OBJ_TEST_PERF_scen2_MT_D) + @-if exist $(TARG_TEST_PERF_scen3_MT_D) $(RM) $(TARG_TEST_PERF_scen3_MT_D) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D) $(RM) $(OBJ_TEST_PERF_scen3_MT_D) + @-if exist $(TARG_TEST_PERF_scen4_MT_D) $(RM) $(TARG_TEST_PERF_scen4_MT_D) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D) $(RM) $(OBJ_TEST_PERF_scen4_MT_D) + @-if exist $(TARG_TEST_PERF_scen5_MT_D) $(RM) $(TARG_TEST_PERF_scen5_MT_D) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D) $(RM) $(OBJ_TEST_PERF_scen5_MT_D) + @-if exist $(TARG_TEST_PERF_scen6_MT_D) $(RM) $(TARG_TEST_PERF_scen6_MT_D) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D) $(RM) $(OBJ_TEST_PERF_scen6_MT_D) + @-if exist $(TARG_TEST_PERF_scen7_MT_D) $(RM) $(TARG_TEST_PERF_scen7_MT_D) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D) $(RM) $(OBJ_TEST_PERF_scen7_MT_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D) $(RM) $(TARG_TEST_PERF_scen8_MT_D) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D) $(RM) $(OBJ_TEST_PERF_scen8_MT_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_D_W) $(RM) $(TARG_TEST_PERF_scen1_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_D_W) $(RM) $(TARG_TEST_PERF_scen2_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_D_W) $(RM) $(TARG_TEST_PERF_scen3_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_D_W) $(RM) $(TARG_TEST_PERF_scen4_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_D_W) $(RM) $(TARG_TEST_PERF_scen5_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_D_W) $(RM) $(TARG_TEST_PERF_scen6_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_D_W) $(RM) $(TARG_TEST_PERF_scen7_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D_W) $(RM) $(TARG_TEST_PERF_scen8_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D) $(RM) $(TARG_TEST_PERF_scen1_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D) $(RM) $(TARG_TEST_PERF_scen2_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D) $(RM) $(TARG_TEST_PERF_scen3_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D) $(RM) $(TARG_TEST_PERF_scen4_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D) $(RM) $(TARG_TEST_PERF_scen5_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D) $(RM) $(TARG_TEST_PERF_scen6_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D) $(RM) $(TARG_TEST_PERF_scen7_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D) $(RM) $(TARG_TEST_PERF_scen8_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R) $(RM) $(TARG_TEST_PERF_scen1_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R) $(RM) $(TARG_TEST_PERF_scen2_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R) $(RM) $(TARG_TEST_PERF_scen3_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R) $(RM) $(TARG_TEST_PERF_scen4_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R) $(RM) $(TARG_TEST_PERF_scen5_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R) $(RM) $(TARG_TEST_PERF_scen6_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R) $(RM) $(TARG_TEST_PERF_scen7_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R) $(RM) $(TARG_TEST_PERF_scen8_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R) $(RM) $(TARG_TEST_PERF_scen1_MT_R) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R) $(RM) $(OBJ_TEST_PERF_scen1_MT_R) + @-if exist $(TARG_TEST_PERF_scen2_MT_R) $(RM) $(TARG_TEST_PERF_scen2_MT_R) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R) $(RM) $(OBJ_TEST_PERF_scen2_MT_R) + @-if exist $(TARG_TEST_PERF_scen3_MT_R) $(RM) $(TARG_TEST_PERF_scen3_MT_R) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R) $(RM) $(OBJ_TEST_PERF_scen3_MT_R) + @-if exist $(TARG_TEST_PERF_scen4_MT_R) $(RM) $(TARG_TEST_PERF_scen4_MT_R) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R) $(RM) $(OBJ_TEST_PERF_scen4_MT_R) + @-if exist $(TARG_TEST_PERF_scen5_MT_R) $(RM) $(TARG_TEST_PERF_scen5_MT_R) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R) $(RM) $(OBJ_TEST_PERF_scen5_MT_R) + @-if exist $(TARG_TEST_PERF_scen6_MT_R) $(RM) $(TARG_TEST_PERF_scen6_MT_R) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R) $(RM) $(OBJ_TEST_PERF_scen6_MT_R) + @-if exist $(TARG_TEST_PERF_scen7_MT_R) $(RM) $(TARG_TEST_PERF_scen7_MT_R) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R) $(RM) $(OBJ_TEST_PERF_scen7_MT_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R) $(RM) $(TARG_TEST_PERF_scen8_MT_R) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R) $(RM) $(OBJ_TEST_PERF_scen8_MT_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R_W) $(RM) $(TARG_TEST_PERF_scen1_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_R_W) $(RM) $(TARG_TEST_PERF_scen2_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_R_W) $(RM) $(TARG_TEST_PERF_scen3_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_R_W) $(RM) $(TARG_TEST_PERF_scen4_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_R_W) $(RM) $(TARG_TEST_PERF_scen5_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_R_W) $(RM) $(TARG_TEST_PERF_scen6_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_R_W) $(RM) $(TARG_TEST_PERF_scen7_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R_W) $(RM) $(TARG_TEST_PERF_scen8_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + +clean.test.scratch: + @echo cleaning Test::Scratch files ... + @-if exist $(TARG_TEST_SCRT_file_MT_D) $(RM) $(TARG_TEST_SCRT_file_MT_D) + @-if exist $(OBJ_TEST_SCRT_file_MT_D) $(RM) $(OBJ_TEST_SCRT_file_MT_D) + @-if exist $(PDB_TEST_SCRT_file_MT_D) $(RM) $(PDB_TEST_SCRT_file_MT_D) + @-if exist $(TARG_TEST_SCRT_speech_MT_D) $(RM) $(TARG_TEST_SCRT_speech_MT_D) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D) $(RM) $(OBJ_TEST_SCRT_speech_MT_D) + @-if exist $(PDB_TEST_SCRT_speech_MT_D) $(RM) $(PDB_TEST_SCRT_speech_MT_D) + @-if exist $(TARG_TEST_SCRT_file_MT_D_W) $(RM) $(TARG_TEST_SCRT_file_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_D_W) $(RM) $(OBJ_TEST_SCRT_file_MT_D_W) + @-if exist $(PDB_TEST_SCRT_file_MT_D_W) $(RM) $(PDB_TEST_SCRT_file_MT_D_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_D_W) $(RM) $(TARG_TEST_SCRT_speech_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_D_W) + @-if exist $(PDB_TEST_SCRT_speech_MT_D_W) $(RM) $(PDB_TEST_SCRT_speech_MT_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_D) $(RM) $(TARG_TEST_SCRT_file_DLL_D) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D) $(RM) $(OBJ_TEST_SCRT_file_DLL_D) + @-if exist $(PDB_TEST_SCRT_file_DLL_D) $(RM) $(PDB_TEST_SCRT_file_DLL_D) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D) $(RM) $(TARG_TEST_SCRT_speech_DLL_D) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D) $(RM) $(PDB_TEST_SCRT_speech_DLL_D) + @-if exist $(TARG_TEST_SCRT_file_DLL_D_W) $(RM) $(TARG_TEST_SCRT_file_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_file_DLL_D_W) $(RM) $(PDB_TEST_SCRT_file_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D_W) $(RM) $(PDB_TEST_SCRT_speech_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_R) $(RM) $(TARG_TEST_SCRT_file_DLL_R) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R) $(RM) $(OBJ_TEST_SCRT_file_DLL_R) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R) $(RM) $(TARG_TEST_SCRT_speech_DLL_R) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R) + @-if exist $(TARG_TEST_SCRT_file_DLL_R_W) $(RM) $(TARG_TEST_SCRT_file_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_file_MT_R) $(RM) $(TARG_TEST_SCRT_file_MT_R) + @-if exist $(OBJ_TEST_SCRT_file_MT_R) $(RM) $(OBJ_TEST_SCRT_file_MT_R) + @-if exist $(TARG_TEST_SCRT_speech_MT_R) $(RM) $(TARG_TEST_SCRT_speech_MT_R) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R) $(RM) $(OBJ_TEST_SCRT_speech_MT_R) + @-if exist $(TARG_TEST_SCRT_file_MT_R_W) $(RM) $(TARG_TEST_SCRT_file_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_R_W) $(RM) $(OBJ_TEST_SCRT_file_MT_R_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_R_W) $(RM) $(TARG_TEST_SCRT_speech_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_R_W) + +clean.test.unit: + @echo cleaning Test::Unit files ... + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D) $(RM) $(TARG_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R) $(RM) $(TARG_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + +clean.test: clean.test.unit clean.test.component clean.test.scratch clean.test.performance + +clean: clean.libs clean.bitbucket clean.examples clean.samples clean.test + +clean.all: clean + + +############################################################################ +# build targets + +################################################## +# Libraries + +######################################## +# Main library - 'fastformat.X.core.a' + +$(LIB_CORE_MT_D): $(OBJ_CORE_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D) + +$(OBJ_cr_api_MT_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_D_W): $(OBJ_CORE_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D_W) + +$(OBJ_cr_api_MT_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D): $(OBJ_CORE_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D) + +$(OBJ_cr_api_DLL_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D_W): $(OBJ_CORE_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D_W) + +$(OBJ_cr_api_DLL_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R): $(OBJ_CORE_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R) + +$(OBJ_cr_api_DLL_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R_W): $(OBJ_CORE_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R_W) + +$(OBJ_cr_api_DLL_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R): $(OBJ_CORE_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R) + +$(OBJ_cr_api_MT_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R_W): $(OBJ_CORE_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R_W) + +$(OBJ_cr_api_MT_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +######################################## +# Loki.SafeFormat library - 'loki.safefmt.X.core.a' + +!ifdef LOKI + +$(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp: $(PROJ_MAKEFILE_NAME) + @-if not exist $(LOKI_SFMT_SRC_DIR)\NUL mkdir $(LOKI_SFMT_SRC_DIR) + echo #include "../src/SafeFormat.cpp" > $@ + +$(LIB_LOKI_SFMT_MT_D): $(OBJ_LOKI_SFMT_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D) + +$(OBJ_lok_sfmt_MT_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_LOKI_SFMT_INCLUDES_MT_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_D_W): $(OBJ_LOKI_SFMT_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D_W) + +$(OBJ_lok_sfmt_MT_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D): $(OBJ_LOKI_SFMT_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D) + +$(OBJ_lok_sfmt_DLL_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D_W): $(OBJ_LOKI_SFMT_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D_W) + +$(OBJ_lok_sfmt_DLL_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R): $(OBJ_LOKI_SFMT_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R) + +$(OBJ_lok_sfmt_DLL_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R_W): $(OBJ_LOKI_SFMT_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R_W) + +$(OBJ_lok_sfmt_DLL_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R): $(OBJ_LOKI_SFMT_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R) + +$(OBJ_lok_sfmt_MT_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_LOKI_SFMT_INCLUDES_MT_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R_W): $(OBJ_LOKI_SFMT_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R_W) + +$(OBJ_lok_sfmt_MT_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +!endif # LOKI +######################################## +# shwild library - 'shwild.X.core.a' + +$(LIB_SHWILD_MT_D): $(OBJ_SHWILD_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D) + +$(OBJ_shw_api_MT_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_D_W): $(OBJ_SHWILD_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D_W) + +$(OBJ_shw_api_MT_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D): $(OBJ_SHWILD_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D) + +$(OBJ_shw_api_DLL_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D_W): $(OBJ_SHWILD_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D_W) + +$(OBJ_shw_api_DLL_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R): $(OBJ_SHWILD_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R) + +$(OBJ_shw_api_DLL_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R_W): $(OBJ_SHWILD_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R_W) + +$(OBJ_shw_api_DLL_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R): $(OBJ_SHWILD_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R) + +$(OBJ_shw_api_MT_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R_W): $(OBJ_SHWILD_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R_W) + +$(OBJ_shw_api_MT_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +######################################## +# xTests library - 'xtests.X.ff.core.a' + +$(LIB_XTESTS_MT_D): $(OBJ_XTESTS_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D) + +$(OBJ_xts_core_MT_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_XTESTS_INCLUDES_MT_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_D_W): $(OBJ_XTESTS_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D_W) + +$(OBJ_xts_core_MT_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_XTESTS_INCLUDES_MT_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D): $(OBJ_XTESTS_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D) + +$(OBJ_xts_core_DLL_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_XTESTS_INCLUDES_DLL_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D_W): $(OBJ_XTESTS_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D_W) + +$(OBJ_xts_core_DLL_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_XTESTS_INCLUDES_DLL_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R): $(OBJ_XTESTS_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R) + +$(OBJ_xts_core_DLL_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_XTESTS_INCLUDES_DLL_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R_W): $(OBJ_XTESTS_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R_W) + +$(OBJ_xts_core_DLL_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_XTESTS_INCLUDES_DLL_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R): $(OBJ_XTESTS_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R) + +$(OBJ_xts_core_MT_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_XTESTS_INCLUDES_MT_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R_W): $(OBJ_XTESTS_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R_W) + +$(OBJ_xts_core_MT_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_XTESTS_INCLUDES_MT_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +################################################## +# Examples: BitBucket + +$(BITBUCKET_SRC_DIR)\bitbucket.cpp: #$(PROJ_MAKEFILE_NAME) + @-if not exist $(BITBUCKET_SRC_DIR)\NUL mkdir $(BITBUCKET_SRC_DIR) + echo #include "stdio.h" > $@ + echo int main(int, char**) { int ch; for(; EOF != (ch = getchar());) { ; } return 0; } >> $@ + +$(TARG_BITBUCKET_MT_D): $(OBJ_BITBUCKET_MT_D) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_BITBUCKET_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_BITBUCKET_MT_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_D_W): $(OBJ_BITBUCKET_MT_D_W) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_BITBUCKET_MT_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D): $(OBJ_BITBUCKET_DLL_D) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_BITBUCKET_DLL_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D_W): $(OBJ_BITBUCKET_DLL_D_W) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_BITBUCKET_DLL_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R): $(OBJ_BITBUCKET_DLL_R) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_BITBUCKET_DLL_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R_W): $(OBJ_BITBUCKET_DLL_R_W) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_BITBUCKET_DLL_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R): $(OBJ_BITBUCKET_MT_R) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_BITBUCKET_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_BITBUCKET_MT_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R_W): $(OBJ_BITBUCKET_MT_R_W) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_BITBUCKET_MT_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + + +################################################## +# Examples + +$(TARG_EX_boolean_MT_D): \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_boolean_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_D_W): \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_boolean_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D): \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_boolean_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D_W): \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_boolean_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R): \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_boolean_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R_W): \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_boolean_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R): \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_boolean_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R_W): \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_boolean_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_character_MT_D): \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_character_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_D_W): \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_character_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D): \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_character_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D_W): \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_character_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R): \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_character_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R_W): \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_character_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R): \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_character_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R_W): \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_character_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_reals_MT_D): \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_D_W): \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_reals_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D): \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D_W): \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_reals_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R): \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R_W): \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_reals_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R): \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R_W): \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_reals_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_voidptrs_MT_D): \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_voidptrs_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_D_W): \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_voidptrs_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D): \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_voidptrs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D_W): \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_voidptrs_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R): \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_voidptrs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R_W): \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_voidptrs_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R): \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_voidptrs_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R_W): \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_voidptrs_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_ff_101_MT_D): \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_D): \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_R): \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_MT_R): \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_nons_MT_D): \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_nons_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_D): \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_nons_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_R): \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_nons_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_MT_R): \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_nons_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_flush_MT_D): \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_flush_MT_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_D): \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_flush_DLL_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_R): \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_flush_DLL_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_MT_R): \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_flush_MT_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_ins_hex_MT_D): \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_hex_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_D): \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_hex_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_R): \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_hex_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_MT_R): \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_hex_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_integers_MT_D): \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_integers_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_D): \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_integers_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_R): \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_integers_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_MT_R): \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_integers_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_reals_MT_D): \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_D): \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_R): \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_MT_R): \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + + +$(TARG_EX_ins_WLIs_MT_D): \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_WLIs_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_D): \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_WLIs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_R): \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_WLIs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_MT_R): \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_WLIs_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + + +$(TARG_EX_itr_fmt_MT_D): \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_itr_fmt_MT_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_D_W): \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_itr_fmt_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D): \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_itr_fmt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D_W): \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_itr_fmt_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R): \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_itr_fmt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R_W): \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_itr_fmt_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R): \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_itr_fmt_MT_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R_W): \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARG_BITBUCKET_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_itr_fmt_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_ovld2_filt_MT_D): \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_filt_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_D): \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_filt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_R): \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_filt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_MT_R): \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_filt_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + + +$(TARG_EX_ovld2_ins_MT_D): \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_ins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_D): \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_ins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_R): \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_ins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_MT_R): \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_ins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_sas_MT_D): \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_sas_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_D): \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_sas_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_R): \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_sas_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_MT_R): \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_sas_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld3_fpcol_MT_D): \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_fpcol_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_D): \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_fpcol_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_R): \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_fpcol_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_MT_R): \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_fpcol_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_tabs_MT_D): \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_tabs_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_D): \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_tabs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_R): \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_tabs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_MT_R): \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_tabs_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_svrlg_MT_D): \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_svrlg_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_D): \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_svrlg_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_R): \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_svrlg_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_MT_R): \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_svrlg_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_rcins_MT_D): \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_rcins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_D): \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_rcins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_R): \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_rcins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_MT_R): \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_rcins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_pad_hash_MT_D): \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_pad_hash_MT_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_D): \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_pad_hash_DLL_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_R): \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_pad_hash_DLL_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_MT_R): \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_pad_hash_MT_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_sink_cstr_MT_D): \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_cstr_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_D): \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_cstr_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_R): \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_cstr_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_MT_R): \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_cstr_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_ODS_MT_D): \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_ODS_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_D_W): \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_sink_ODS_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D): \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_ODS_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D_W): \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_sink_ODS_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R): \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_ODS_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R_W): \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_sink_ODS_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R): \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_ODS_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R_W): \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_sink_ODS_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +################################################## +# Tests + +############################## +# Component Tests + +$(TARG_TEST_COMP_snk_a_b_MT_D): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_a_b_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_a_b_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_file_MT_D): \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_file_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_D_W): \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_file_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D): \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_file_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D_W): \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_file_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R): \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_file_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R_W): \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_file_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R): \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_file_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R_W): \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_file_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_fmt_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_fmt_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_write_sink_MT_D): \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_write_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_D_W): \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_write_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D): \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_write_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_write_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R): \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_write_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_write_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R): \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_write_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R_W): \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_write_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_x_1_MT_D): \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_x_1_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_D_W): \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_x_1_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D): \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_x_1_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D_W): \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_x_1_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R): \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_x_1_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R_W): \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_x_1_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R): \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_x_1_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R_W): \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_x_1_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_null_sink_MT_D): \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_null_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_D_W): \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_null_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D): \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_null_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_null_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R): \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_null_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_null_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R): \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_null_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R_W): \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_null_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D): \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_hex_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_hex_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_hex_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_hex_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R): \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_hex_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_hex_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_int_MT_D): \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_int_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_D_W): \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_int_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D): \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_int_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_int_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R): \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_int_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_int_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R): \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_int_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R_W): \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_int_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_real_MT_D): \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_real_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_D_W): \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_real_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D): \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_real_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_real_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R): \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_real_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_real_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R): \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_real_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R_W): \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_real_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_itr_fmt_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_itr_fmt_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_ostm_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_ostm_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +############################## +# Performance Tests + +$(TARG_TEST_PERF_scen1_MT_D): \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen1_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_D_W): \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen1_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D): \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen1_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D_W): \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen1_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R): \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen1_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R_W): \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen1_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R): \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen1_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R_W): \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen1_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen2_MT_D): \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen2_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_D_W): \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen2_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D): \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen2_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D_W): \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen2_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R): \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen2_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R_W): \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen2_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R): \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen2_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R_W): \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen2_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen3_MT_D): \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen3_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_D_W): \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen3_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D): \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen3_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D_W): \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen3_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R): \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen3_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R_W): \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen3_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R): \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen3_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R_W): \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen3_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen4_MT_D): \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen4_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_D_W): \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen4_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D): \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen4_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D_W): \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen4_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R): \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen4_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R_W): \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen4_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R): \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen4_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R_W): \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen4_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen5_MT_D): \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen5_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_D_W): \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen5_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D): \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen5_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D_W): \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen5_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R): \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen5_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R_W): \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen5_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R): \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen5_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R_W): \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen5_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen6_MT_D): \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen6_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_D_W): \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen6_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D): \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen6_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D_W): \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen6_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R): \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen6_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R_W): \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen6_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R): \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen6_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R_W): \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen6_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen7_MT_D): \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen7_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_D_W): \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen7_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D): \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen7_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D_W): \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen7_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R): \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen7_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R_W): \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen7_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R): \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen7_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R_W): \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen7_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +!ifdef BOOST +$(TARG_TEST_PERF_scen8_MT_D): \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen8_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_D_W): \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen8_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D): \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen8_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D_W): \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen8_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R): \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen8_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R_W): \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen8_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R): \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen8_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R_W): \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen8_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +!endif # BOOST +############################## +# Scratch Tests + +$(TARG_TEST_SCRT_file_MT_D): \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_file_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_D_W): \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_file_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D): \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_file_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D_W): \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_file_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R): \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_file_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R_W): \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_file_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R): \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_file_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R_W): \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_file_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_speech_MT_D): \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_speech_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_D_W): \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_speech_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D): \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_speech_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D_W): \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_speech_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R): \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_speech_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R_W): \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_speech_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R): \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_speech_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R_W): \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_speech_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +############################## +# Unit Tests + + +$(TARG_TEST_UNIT_api_acsllen_MT_D): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_crlf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_crlf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_init_MT_D): \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_init_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_D_W): \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_init_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D): \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_init_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_init_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R): \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_init_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_init_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R): \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_init_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R_W): \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_init_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ods_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ods_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_ch_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_ch_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D): \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_i_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_i_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_i_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_i_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R): \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_i_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_i_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_shim_fi_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_shim_fi_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D): \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_null_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_null_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_null_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_null_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R): \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_null_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_null_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +############################### end of file ################################ diff --git a/build/vc14/makefile b/build/vc14/makefile new file mode 100644 index 0000000..98af1a3 --- /dev/null +++ b/build/vc14/makefile @@ -0,0 +1,12423 @@ +############################################################################ +# File: makefile +# +# Purpose: Makefile for the FastFormat project, for the Visual C++ 14 (Win32) +# compiler, on Win32 +# +# Created: 22nd December 2007 +# Updated: 25th September 2015 +# +############################################################################ + + +############################################################################ +# Environment check +# + +!ifndef STLSOFT +!error STLSOFT must be defined +!endif # !STLSOFT + +############################################################################ +# Symbols + +######################################## +# Project & compiler version + +COMP_TAG = vc14 +ARCH_TAG = +OS_TAG = +PROJ_TAG = fastformat +PROJ_VER_MAJOR = 0 +PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) + +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) + +SHWILD_VER_MAJOR = 0 +SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) + +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + +XTESTS_VER_MAJOR = 0 +XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) + +PROJ_MAKEFILE_NAME = makefile + +######################################## +# Testing verbosity + +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY + +######################################## +# Directories + +PROJ_BASE_DIR = ..\.. +PROJ_BIN_DIR = $(PROJ_BASE_DIR)\bin +PROJ_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +PROJ_LIB_DIR = $(PROJ_BASE_DIR)\lib +PROJ_OBJ_DIR = . +PROJ_SRC_DIR = $(PROJ_BASE_DIR)\src +PROJ_EXAMPLES_DIR = $(PROJ_BASE_DIR)\examples +PROJ_SAMPLES_DIR = $(PROJ_BASE_DIR)\samples +PROJ_BUNDLES_DIR = $(PROJ_BASE_DIR)\test\data\bundles +PROJ_TEST_ROOT_DIR = $(PROJ_BASE_DIR)\test +PROJ_TEST_COMPONENT_DIR = $(PROJ_TEST_ROOT_DIR)\component +PROJ_TEST_PERFORMANCE_DIR = $(PROJ_TEST_ROOT_DIR)\performance +PROJ_TEST_SCRATCH_DIR = $(PROJ_TEST_ROOT_DIR)\scratch +PROJ_TEST_UNIT_DIR = $(PROJ_TEST_ROOT_DIR)\unit + +BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket + +LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt + +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR + +######################################## +# tools + +CC = cl +CPPC = cl +AR = lib +LD = link +RM = del /f +CP = copy +RC = rc + + +# Lib + +LIBS_LEADER = + +# lib paths + +ENV_LIBPATHS = +COMMON_LIBPATHS = + + +LIBPATHS=\ +$(LIBS_LEADER)\ +$(COMMON_LIBPATHS)\ +$(ENV_LIBPATHS)\ +\ + + +# lib names + +TARGET_LIB_MT_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_D_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_DLL_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + +TARGET_LIB_MT_R_W = \ + kernel32.lib user32.lib advapi32.lib uuid.lib wininet.lib ws2_32.lib ole32.lib oleaut32.lib \ + \ + + +# #defines + +COMMON_DEFS = -DWIN32 + +CUSTOM_DEFS = + +# includes + +COMMON_INCLUDES = + + + +# CPPC flags + +CPPC_F_NOLOGO = -nologo +CPPC_F_COMPILE_ONLY = -c +CPPC_F_WARNING_MAX = -W4 -wd4800 +CPPC_F_WARNING_ERR = -WX +CPPC_F_EXCEPTIONS_ON = -EHsc +CPPC_F_EXCEPTIONS_OFF = -wd4530 +CPPC_F_EXCEPTIONS = $(CPPC_F_EXCEPTIONS_ON) +CPPC_F_RTTI_ON = -GR +CPPC_F_RTTI_OFF = -GR- +CPPC_F_RTTI = $(CPPC_F_RTTI_ON) +CPPC_F_MINIMUM_SIZE = -O1 +CPPC_F_MAXIMUM_SPEED = -O2 -Ox + +CPPC_FLAGS_BASE =\ + $(CPPC_F_NOLOGO)\ + $(CPPC_F_COMPILE_ONLY)\ + $(CPPC_F_WARNING_MAX)\ + $(CPPC_F_WARNING_ERR)\ + $(CPPC_F_EXCEPTIONS)\ + $(CPPC_F_RTTI)\ + \ + +CPPC_FLAGS_MT_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_D_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_DLL_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R =\ + $(CPPC_FLAGS_BASE)\ + \ + +CPPC_FLAGS_MT_R_W =\ + $(CPPC_FLAGS_BASE)\ + \ + + +# CPPC defines + +!ifdef FASTFORMAT_NO_NAG +NO_NAG_DEFS = -DFASTFORMAT_NO_VERSION_NAG=1 +!else # ? FASTFORMAT_NO_NAG +NO_NAG_DEFS = +!endif # FASTFORMAT_NO_NAG + +CPPC_DEFS_BASE =\ + $(COMMON_DEFS)\ + $(CUSTOM_DEFS)\ + $(NO_NAG_DEFS)\ + \ + +!ifdef BOOST +DEFINE_BOOST_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_BOOST +!else # BOOST +DEFINE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +DEFINE_LOKI_FOR_PERFTEST = -DFASTFORMAT_PERFTEST_USE_LOKI +!else # LOKI +DEFINE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_DEFS_MT_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_D_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_DLL_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_DEFS_MT_R_W =\ + $(CPPC_DEFS_BASE)\ + $(DEFINE_BOOST_FOR_PERFTEST)\ + $(DEFINE_LOKI_FOR_PERFTEST)\ + \ + + + +# CPPC includes + +!ifdef STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = -I"$(STLSOFT_1_10)/include" +!else # STLSOFT_1_10 +STLSOFT_1_10_INCLUDE = +!endif # STLSOFT_1_10 + +CPPC_INCLUDES_BASE=\ + \ + -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ + $(STLSOFT_1_10_INCLUDE)\ + -I$(STLSOFT)\include\ + $(COMMON_INCLUDES)\ + \ + +CPPC_CORE_INCLUDES_BASE=\ + -I$(PROJ_SRC_DIR)\ + $(CPPC_INCLUDES_BASE)\ + \ + +!ifdef BOOST +INCLUDE_BOOST_FOR_PERFTEST = -I"$(BOOST)" +!else # BOOST +INCLUDE_BOOST_FOR_PERFTEST = +!endif # BOOST + +!ifdef LOKI +INCLUDE_LOKI_FOR_PERFTEST = -I"$(LOKI)/include" +!else # LOKI +INCLUDE_LOKI_FOR_PERFTEST = +!endif # LOKI + + +CPPC_INCLUDES_MT_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_D_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_DLL_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + +CPPC_INCLUDES_MT_R_W =\ + $(CPPC_INCLUDES_BASE)\ + $(INCLUDE_BOOST_FOR_PERFTEST)\ + $(INCLUDE_LOKI_FOR_PERFTEST)\ + \ + + + +CPPC_SHWILD_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_D_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_DLL_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + +CPPC_SHWILD_INCLUDES_MT_R_W =\ + $(CPPC_SHWILD_INCLUDES_BASE)\ + \ + + +CPPC_XTESTS_INCLUDES_BASE =\ + $(CPPC_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_D_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_DLL_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + +CPPC_XTESTS_INCLUDES_MT_R_W =\ + $(CPPC_XTESTS_INCLUDES_BASE)\ + \ + + + +# CPPC args (= flags + defines + includes) + +CPPC_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D) +CPPC_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_D_W) +CPPC_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D) +CPPC_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_D_W) +CPPC_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R) +CPPC_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_DLL_R_W) +CPPC_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R) +CPPC_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_INCLUDES_MT_R_W) + +CPPC_CORE_ARGS_MT_D=\ + $(CPPC_FLAGS_MT_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_D_W=\ + $(CPPC_FLAGS_MT_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MTd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb\ + $(CPPC_DEFS_MT_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D=\ + $(CPPC_FLAGS_DLL_D)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_D_W=\ + $(CPPC_FLAGS_DLL_D_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MDd -DUNICODE -D_UNICODE -D_DEBUG -Zi -Fd$*.pdb $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_D_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R=\ + $(CPPC_FLAGS_DLL_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_DLL_R_W=\ + $(CPPC_FLAGS_DLL_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MD -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED) -D_AFXDLL\ + $(CPPC_DEFS_DLL_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R=\ + $(CPPC_FLAGS_MT_R)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) +CPPC_CORE_ARGS_MT_R_W=\ + $(CPPC_FLAGS_MT_R_W)\ + $(CPPC_F_EXCEPTIONS_ON) $(CPPC_F_RTTI_ON) -MT -DUNICODE -D_UNICODE -DNDEBUG $(CPPC_F_MAXIMUM_SPEED)\ + $(CPPC_DEFS_MT_R_W)\ + -DFASTFORMAT_NO_IMPLICIT_LINK\ + $(CPPC_CORE_INCLUDES_BASE) + + + + +# AR Args + +AR_ARGS = -nologo + + +# LD flags + +LD_F_NOLOGO = -nologo + +LD_FLAGS_BASE =\ + $(LD_F_NOLOGO)\ + \ + +LD_FLAGS_MT_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_D_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_DLL_R_W =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R =\ + $(LD_FLAGS_BASE)\ + \ + +LD_FLAGS_MT_R_W =\ + $(LD_FLAGS_BASE)\ + \ + + +# LD includes + +LD_INCLUDES_BASE =\ + $(LIBPATHS)\ + \ + +LD_INCLUDES_MT_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_D_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_DLL_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R =\ + $(LD_INCLUDES_BASE)\ + \ + +LD_INCLUDES_MT_R_W =\ + $(LD_INCLUDES_BASE)\ + \ + + +LD_ARGS = + +LD_F_NOLOGO = -nologo + +# LD args + +LD_ARGS_MT_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_D_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_DLL_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) +LD_ARGS_MT_R_W = $(LD_ARGS) $(LD_F_NOLOGO) $(LIBPATHS) $(COMMON_LIB) + +############################################################################ +# Headers + +CORE_HEADERS=\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.h\ + $(PROJ_INCLUDE_DIR)\fastformat\fastformat.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\ff.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\implicit_link.h\ + $(PROJ_INCLUDE_DIR)\fastformat\init_codes.h\ + $(PROJ_INCLUDE_DIR)\fastformat\exceptions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\openrj_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\properties_bundle.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\bundles\winini_bundle.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\format\standard_flags.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_missing_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\format\specification_defect_handling\mismatched_arguments_scope_base.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\integer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\real.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_e.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_f.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_g.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\inserters\to_i.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\internal\format_element.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\stlsoft.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\string_encoding.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\threading.h\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\initialiser.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\api_functions.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\internal\generated\helper_functions.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\quality\contract.h\ + $(PROJ_INCLUDE_DIR)\fastformat\quality\cover.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\shims\action\fmt_slices\generic_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\fast_integers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\reals.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\shims\conversion\filter_type\void_pointers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ACE_CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\auto_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CComBSTR.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\char_buffer.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\CString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\c_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\FILE.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\null.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\ostream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\OutputDebugString.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\shim_string.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\speech.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\stringstream.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\string_array.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\sinks\vectored_file.hpp\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.first_include.h\ + $(PROJ_INCLUDE_DIR)\fastformat\test\util\compiler_warnings_suppression.last_include.h\ + \ + $(PROJ_INCLUDE_DIR)\fastformat\util\memory\auto_buffer_selector.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\sinks\helpers.hpp\ + $(PROJ_INCLUDE_DIR)\fastformat\util\string\snprintf.h\ + \ + +SHWILD_HEADERS=\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)\xcover\xcover.h\ + $(PROJ_INCLUDE_DIR)\xcover\internal\reporter.h\ + \ + +XTESTS_HEADERS=\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ + \ + +############################################################################ +# Names + +############################################################ +# Targets + +######################################## +# Libraries + +# fastformat +LIB_CORE_MT_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_CORE_MT_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_CORE_DLL_D = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_CORE_DLL_D_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_CORE_DLL_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).dll.lib +LIB_CORE_DLL_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_CORE_MT_R = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).mt.lib +LIB_CORE_MT_R_W = $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# Loki.SafeFormat - performance testing only +!ifdef LOKI +LIB_LOKI_SFMT_MT_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_LOKI_SFMT_MT_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_LOKI_SFMT_DLL_D = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_LOKI_SFMT_DLL_D_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_LOKI_SFMT_DLL_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_LOKI_SFMT_DLL_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_LOKI_SFMT_MT_R = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_LOKI_SFMT_MT_R_W = $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib +!else # LOKI +LIB_LOKI_SFMT_MT_D = +LIB_LOKI_SFMT_MT_D_W = +LIB_LOKI_SFMT_DLL_D = +LIB_LOKI_SFMT_DLL_D_W = +LIB_LOKI_SFMT_DLL_R = +LIB_LOKI_SFMT_DLL_R_W = +LIB_LOKI_SFMT_MT_R = +LIB_LOKI_SFMT_MT_R_W = +!endif # LOKI + +# shwild - testing only +LIB_SHWILD_MT_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_SHWILD_MT_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_SHWILD_DLL_D = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_SHWILD_DLL_D_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_SHWILD_DLL_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_SHWILD_DLL_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_SHWILD_MT_R = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_SHWILD_MT_R_W = $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +# xtests - unit/component testing only +LIB_XTESTS_MT_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.debug.lib +LIB_XTESTS_MT_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.debug.lib +LIB_XTESTS_DLL_D = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.debug.lib +LIB_XTESTS_DLL_D_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.debug.lib +LIB_XTESTS_DLL_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).dll.lib +LIB_XTESTS_DLL_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.dll.lib +LIB_XTESTS_MT_R = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).mt.lib +LIB_XTESTS_MT_R_W = $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG).widestring.mt.lib + +######################################## +# Examples + +TARG_BITBUCKET_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_BITBUCKET_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_BITBUCKET_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_BITBUCKET_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_BITBUCKET_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).dll.exe +TARG_BITBUCKET_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_BITBUCKET_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).mt.exe +TARG_BITBUCKET_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +TARG_EX_boolean_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_character_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_voidptrs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ff_101_nons_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_flush_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_integers_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_reals_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ins_WLIs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_filt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_ins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld2_sas_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_fpcol_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_tabs_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_svrlg_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_ovld3_rcins_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_pad_hash_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_cstr_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_EX_sink_ODS_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.debug.exe + +TARG_EX_boolean_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_character_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_reals_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_voidptrs_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_EX_sink_ODS_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe + +TARG_EX_boolean_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_character_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_voidptrs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ff_101_nons_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_flush_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_integers_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_reals_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ins_WLIs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_filt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_ins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld2_sas_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_fpcol_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_tabs_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_svrlg_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_ovld3_rcins_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_pad_hash_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_cstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_EX_sink_ODS_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.debug.exe + +TARG_EX_boolean_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_character_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_reals_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_voidptrs_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_EX_sink_ODS_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe + +TARG_EX_boolean_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_character_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_voidptrs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ff_101_nons_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_flush_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_integers_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_reals_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ins_WLIs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_filt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_ins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld2_sas_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_fpcol_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_tabs_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_svrlg_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_ovld3_rcins_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_pad_hash_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_cstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_EX_sink_ODS_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).dll.exe + +TARG_EX_boolean_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_character_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_reals_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_voidptrs_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_EX_sink_ODS_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.dll.exe + +TARG_EX_boolean_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_character_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_voidptrs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ff_101_nons_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ff_101.no_namespace.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_flush_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.flush.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_integers_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_reals_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.reals.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ins_WLIs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.inserter.WLIs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_filt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.filter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_ins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.inserter.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld2_sas_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.2.hero.sas.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_fpcol_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.flpt.cols.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_tabs_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.tabs.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_svrlg_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.svr.log.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_ovld3_rcins_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.ovld.3.rec.ins.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_pad_hash_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.pad.hash.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_cstr_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_EX_sink_ODS_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).mt.exe + +TARG_EX_boolean_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.boolean.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_character_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_reals_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.reals.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_voidptrs_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.arguments.void_pointers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_EX_sink_ODS_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.sink.OutputDebugString.$(COMP_ARCH_OS_TAG).widestring.mt.exe + + +######################################## +# Tests + +############################## +# Component Tests + +TARG_TEST_COMP_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_x_1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_COMP_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_hex_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_int_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_ins_real_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_null_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_write_sink_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_x_1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_COMP_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_COMP_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_int_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_ins_real_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_null_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_write_sink_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_x_1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_COMP_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_hex_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_int_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_ins_real_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_null_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_write_sink_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_x_1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_COMP_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_int_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_ins_real_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_null_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_write_sink_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_x_1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_COMP_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_fmt_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_hex_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_int_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_ins_real_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_itr_fmt_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_null_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_write_sink_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_x_1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_COMP_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.fmt.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_hex_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.hex.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_int_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_ins_real_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.inserter.real.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.itrs.fmt_itr.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_null_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_write_sink_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.string.write.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_x_1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.fsde.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Performance Tests + +TARG_TEST_PERF_scen1_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen2_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen3_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen4_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen5_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen6_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_PERF_scen7_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen2_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen3_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen4_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen5_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen6_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_PERF_scen7_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen2_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen3_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen4_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen5_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen6_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_PERF_scen7_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen2_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen3_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen4_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen5_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen6_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_PERF_scen7_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen2_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen3_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen4_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen5_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen6_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_PERF_scen7_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.dll.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen2_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen3_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen4_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen5_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen6_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_PERF_scen7_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).mt.exe +!endif # BOOST +TARG_TEST_PERF_scen1_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario1.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen2_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario2.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen3_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario3.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen4_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario4.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen5_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario5.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen6_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario6.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_PERF_scen7_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario7.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!ifdef BOOST +TARG_TEST_PERF_scen8_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.scenario8.$(COMP_ARCH_OS_TAG).widestring.mt.exe +!endif # BOOST + +############################## +# Scratch Tests + +TARG_TEST_SCRT_file_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_speech_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_SCRT_file_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_speech_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_SCRT_file_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_SCRT_file_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_speech_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_SCRT_file_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_speech_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_SCRT_file_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_speech_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_SCRT_file_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_speech_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_SCRT_file_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.FILE.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_SCRT_speech_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.scratch.speech.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################## +# Unit Tests + +TARG_TEST_UNIT_api_acsllen_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.debug.exe +TARG_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_init_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_ins_i_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_null_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_init_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.debug.exe +TARG_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_init_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_ins_i_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_null_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).dll.exe +TARG_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_init_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.CString.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.dll.exe +TARG_TEST_UNIT_api_acsllen_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_crlf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_init_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_ch_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_ins_i_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_shim_fi_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_null_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ods_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).mt.exe +TARG_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.accum_slice_lens.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.crlf_for_platform.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.fill_replacements.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.illformed_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_init_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.initialisation.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.lookup_pattern.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.mismatched_handlers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.api.parse_format.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.bundle.winini.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.format.standard_flags.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.character.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_ins_i_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.inserters.integer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.shims.fast_integers.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.auto_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.c_string.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.char_buffer.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_null_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.null.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ODS.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.ostream.$(COMP_ARCH_OS_TAG).widestring.mt.exe +TARG_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.sink.stringstream.$(COMP_ARCH_OS_TAG).widestring.mt.exe + +############################################################################ +# Objects + +######################################## +# Libraries + +# fastformat + +OBJ_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.obj +OBJ_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.obj +OBJ_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.obj +OBJ_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.obj +OBJ_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.obj +OBJ_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.obj +PDB_cr_api_MT_D = $(PROJ_OBJ_DIR)\core.api.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.debug.pdb +PDB_cr_fmtcache_MT_D = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.debug.pdb +PDB_cr_initstr_MT_D = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.debug.pdb +PDB_cr_mempool_MT_D = $(PROJ_OBJ_DIR)\core.mempool.mt.debug.pdb +PDB_cr_replacements_MT_D = $(PROJ_OBJ_DIR)\core.replacements.mt.debug.pdb +PDB_cr_snprintf_MT_D = $(PROJ_OBJ_DIR)\core.snprintf.mt.debug.pdb + +OBJ_CORE_MT_D = \ + $(OBJ_cr_api_MT_D)\ + $(OBJ_cr_fsdhndlrs_MT_D)\ + $(OBJ_cr_fmtcache_MT_D)\ + $(OBJ_cr_initstr_MT_D)\ + $(OBJ_cr_mempool_MT_D)\ + $(OBJ_cr_replacements_MT_D)\ + $(OBJ_cr_snprintf_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_cr_api_MT_D)\ + $(PDB_cr_fsdhndlrs_MT_D)\ + $(PDB_cr_fmtcache_MT_D)\ + $(PDB_cr_initstr_MT_D)\ + $(PDB_cr_mempool_MT_D)\ + $(PDB_cr_replacements_MT_D)\ + $(PDB_cr_snprintf_MT_D)\ + \ + +OBJ_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.obj +OBJ_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.obj +OBJ_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.obj +OBJ_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.obj +OBJ_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.obj +OBJ_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.obj +OBJ_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.obj +PDB_cr_api_MT_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.debug.pdb +PDB_cr_fsdhndlrs_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.debug.pdb +PDB_cr_fmtcache_MT_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.debug.pdb +PDB_cr_initstr_MT_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.debug.pdb +PDB_cr_mempool_MT_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.debug.pdb +PDB_cr_replacements_MT_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.debug.pdb +PDB_cr_snprintf_MT_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.debug.pdb + +OBJ_CORE_MT_D_W = \ + $(OBJ_cr_api_MT_D_W)\ + $(OBJ_cr_fsdhndlrs_MT_D_W)\ + $(OBJ_cr_fmtcache_MT_D_W)\ + $(OBJ_cr_initstr_MT_D_W)\ + $(OBJ_cr_mempool_MT_D_W)\ + $(OBJ_cr_replacements_MT_D_W)\ + $(OBJ_cr_snprintf_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_cr_api_MT_D_W)\ + $(PDB_cr_fsdhndlrs_MT_D_W)\ + $(PDB_cr_fmtcache_MT_D_W)\ + $(PDB_cr_initstr_MT_D_W)\ + $(PDB_cr_mempool_MT_D_W)\ + $(PDB_cr_replacements_MT_D_W)\ + $(PDB_cr_snprintf_MT_D_W)\ + \ + +OBJ_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.obj +OBJ_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.obj +OBJ_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.obj +OBJ_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.obj +OBJ_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.obj +OBJ_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.obj +PDB_cr_api_DLL_D = $(PROJ_OBJ_DIR)\core.api.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.debug.pdb +PDB_cr_fmtcache_DLL_D = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.debug.pdb +PDB_cr_initstr_DLL_D = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.debug.pdb +PDB_cr_mempool_DLL_D = $(PROJ_OBJ_DIR)\core.mempool.dll.debug.pdb +PDB_cr_replacements_DLL_D = $(PROJ_OBJ_DIR)\core.replacements.dll.debug.pdb +PDB_cr_snprintf_DLL_D = $(PROJ_OBJ_DIR)\core.snprintf.dll.debug.pdb + +OBJ_CORE_DLL_D = \ + $(OBJ_cr_api_DLL_D)\ + $(OBJ_cr_fsdhndlrs_DLL_D)\ + $(OBJ_cr_fmtcache_DLL_D)\ + $(OBJ_cr_initstr_DLL_D)\ + $(OBJ_cr_mempool_DLL_D)\ + $(OBJ_cr_replacements_DLL_D)\ + $(OBJ_cr_snprintf_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_cr_api_DLL_D)\ + $(PDB_cr_fsdhndlrs_DLL_D)\ + $(PDB_cr_fmtcache_DLL_D)\ + $(PDB_cr_initstr_DLL_D)\ + $(PDB_cr_mempool_DLL_D)\ + $(PDB_cr_replacements_DLL_D)\ + $(PDB_cr_snprintf_DLL_D)\ + \ + +OBJ_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.obj +OBJ_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.obj +OBJ_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.obj +OBJ_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.obj +OBJ_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.obj +OBJ_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.obj +OBJ_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.obj +PDB_cr_api_DLL_D_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.debug.pdb +PDB_cr_fsdhndlrs_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.debug.pdb +PDB_cr_fmtcache_DLL_D_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.debug.pdb +PDB_cr_initstr_DLL_D_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.debug.pdb +PDB_cr_mempool_DLL_D_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.debug.pdb +PDB_cr_replacements_DLL_D_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.debug.pdb +PDB_cr_snprintf_DLL_D_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.debug.pdb + +OBJ_CORE_DLL_D_W = \ + $(OBJ_cr_api_DLL_D_W)\ + $(OBJ_cr_fsdhndlrs_DLL_D_W)\ + $(OBJ_cr_fmtcache_DLL_D_W)\ + $(OBJ_cr_initstr_DLL_D_W)\ + $(OBJ_cr_mempool_DLL_D_W)\ + $(OBJ_cr_replacements_DLL_D_W)\ + $(OBJ_cr_snprintf_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_cr_api_DLL_D_W)\ + $(PDB_cr_fsdhndlrs_DLL_D_W)\ + $(PDB_cr_fmtcache_DLL_D_W)\ + $(PDB_cr_initstr_DLL_D_W)\ + $(PDB_cr_mempool_DLL_D_W)\ + $(PDB_cr_replacements_DLL_D_W)\ + $(PDB_cr_snprintf_DLL_D_W)\ + \ + +OBJ_cr_api_DLL_R = $(PROJ_OBJ_DIR)\core.api.dll.obj +OBJ_cr_fsdhndlrs_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.dll.obj +OBJ_cr_fmtcache_DLL_R = $(PROJ_OBJ_DIR)\core.fmt_cache.dll.obj +OBJ_cr_initstr_DLL_R = $(PROJ_OBJ_DIR)\core.init_code_strings.dll.obj +OBJ_cr_mempool_DLL_R = $(PROJ_OBJ_DIR)\core.mempool.dll.obj +OBJ_cr_replacements_DLL_R = $(PROJ_OBJ_DIR)\core.replacements.dll.obj +OBJ_cr_snprintf_DLL_R = $(PROJ_OBJ_DIR)\core.snprintf.dll.obj + +OBJ_CORE_DLL_R = \ + $(OBJ_cr_api_DLL_R)\ + $(OBJ_cr_fsdhndlrs_DLL_R)\ + $(OBJ_cr_fmtcache_DLL_R)\ + $(OBJ_cr_initstr_DLL_R)\ + $(OBJ_cr_mempool_DLL_R)\ + $(OBJ_cr_replacements_DLL_R)\ + $(OBJ_cr_snprintf_DLL_R)\ + \ + +OBJ_cr_api_DLL_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.dll.obj +OBJ_cr_fsdhndlrs_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.dll.obj +OBJ_cr_fmtcache_DLL_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.dll.obj +OBJ_cr_initstr_DLL_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.dll.obj +OBJ_cr_mempool_DLL_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.dll.obj +OBJ_cr_replacements_DLL_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.dll.obj +OBJ_cr_snprintf_DLL_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.dll.obj + +OBJ_CORE_DLL_R_W = \ + $(OBJ_cr_api_DLL_R_W)\ + $(OBJ_cr_fsdhndlrs_DLL_R_W)\ + $(OBJ_cr_fmtcache_DLL_R_W)\ + $(OBJ_cr_initstr_DLL_R_W)\ + $(OBJ_cr_mempool_DLL_R_W)\ + $(OBJ_cr_replacements_DLL_R_W)\ + $(OBJ_cr_snprintf_DLL_R_W)\ + \ + +OBJ_cr_api_MT_R = $(PROJ_OBJ_DIR)\core.api.mt.obj +OBJ_cr_fsdhndlrs_MT_R = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.mt.obj +OBJ_cr_fmtcache_MT_R = $(PROJ_OBJ_DIR)\core.fmt_cache.mt.obj +OBJ_cr_initstr_MT_R = $(PROJ_OBJ_DIR)\core.init_code_strings.mt.obj +OBJ_cr_mempool_MT_R = $(PROJ_OBJ_DIR)\core.mempool.mt.obj +OBJ_cr_replacements_MT_R = $(PROJ_OBJ_DIR)\core.replacements.mt.obj +OBJ_cr_snprintf_MT_R = $(PROJ_OBJ_DIR)\core.snprintf.mt.obj + +OBJ_CORE_MT_R = \ + $(OBJ_cr_api_MT_R)\ + $(OBJ_cr_fsdhndlrs_MT_R)\ + $(OBJ_cr_fmtcache_MT_R)\ + $(OBJ_cr_initstr_MT_R)\ + $(OBJ_cr_mempool_MT_R)\ + $(OBJ_cr_replacements_MT_R)\ + $(OBJ_cr_snprintf_MT_R)\ + \ + +OBJ_cr_api_MT_R_W = $(PROJ_OBJ_DIR)\core.api.widestring.mt.obj +OBJ_cr_fsdhndlrs_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_spec_defect_handlers.widestring.mt.obj +OBJ_cr_fmtcache_MT_R_W = $(PROJ_OBJ_DIR)\core.fmt_cache.widestring.mt.obj +OBJ_cr_initstr_MT_R_W = $(PROJ_OBJ_DIR)\core.init_code_strings.widestring.mt.obj +OBJ_cr_mempool_MT_R_W = $(PROJ_OBJ_DIR)\core.mempool.widestring.mt.obj +OBJ_cr_replacements_MT_R_W = $(PROJ_OBJ_DIR)\core.replacements.widestring.mt.obj +OBJ_cr_snprintf_MT_R_W = $(PROJ_OBJ_DIR)\core.snprintf.widestring.mt.obj + +OBJ_CORE_MT_R_W = \ + $(OBJ_cr_api_MT_R_W)\ + $(OBJ_cr_fsdhndlrs_MT_R_W)\ + $(OBJ_cr_fmtcache_MT_R_W)\ + $(OBJ_cr_initstr_MT_R_W)\ + $(OBJ_cr_mempool_MT_R_W)\ + $(OBJ_cr_replacements_MT_R_W)\ + $(OBJ_cr_snprintf_MT_R_W)\ + \ + + +# Loki.SafeFormat + +!ifdef LOKI +OBJ_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.obj +PDB_lok_sfmt_MT_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D = \ + $(OBJ_lok_sfmt_MT_D)\ + \ + +PDB_LOKI_SFMT_MT_D = \ + $(PDB_lok_sfmt_MT_D)\ + \ + +OBJ_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.obj +PDB_lok_sfmt_MT_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.debug.pdb + +OBJ_LOKI_SFMT_MT_D_W = \ + $(OBJ_lok_sfmt_MT_D_W)\ + \ + +PDB_LOKI_SFMT_MT_D_W = \ + $(PDB_lok_sfmt_MT_D_W)\ + \ + +OBJ_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.obj +PDB_lok_sfmt_DLL_D = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D = \ + $(OBJ_lok_sfmt_DLL_D)\ + \ + +PDB_LOKI_SFMT_DLL_D = \ + $(PDB_lok_sfmt_DLL_D)\ + \ + +OBJ_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.obj +PDB_lok_sfmt_DLL_D_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.debug.pdb + +OBJ_LOKI_SFMT_DLL_D_W = \ + $(OBJ_lok_sfmt_DLL_D_W)\ + \ + +PDB_LOKI_SFMT_DLL_D_W = \ + $(PDB_lok_sfmt_DLL_D_W)\ + \ + +OBJ_lok_sfmt_DLL_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.dll.obj + +OBJ_LOKI_SFMT_DLL_R = \ + $(OBJ_lok_sfmt_DLL_R)\ + \ + +OBJ_lok_sfmt_DLL_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.dll.obj + +OBJ_LOKI_SFMT_DLL_R_W = \ + $(OBJ_lok_sfmt_DLL_R_W)\ + \ + +OBJ_lok_sfmt_MT_R = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.mt.obj + +OBJ_LOKI_SFMT_MT_R = \ + $(OBJ_lok_sfmt_MT_R)\ + \ + +OBJ_lok_sfmt_MT_R_W = $(PROJ_OBJ_DIR)\$(LOKI_SFMT_TAG_VER).ff.api.widestring.mt.obj + +OBJ_LOKI_SFMT_MT_R_W = \ + $(OBJ_lok_sfmt_MT_R_W)\ + \ + +!else # LOKI +OBJ_lok_sfmt_MT_D = +PDB_lok_sfmt_MT_D = +OBJ_LOKI_SFMT_MT_D_PM = +PDB_LOKI_SFMT_MT_D_PM = +OBJ_lok_sfmt_MT_D_W = +PDB_lok_sfmt_MT_D_W = +OBJ_LOKI_SFMT_MT_D_W_PM = +PDB_LOKI_SFMT_MT_D_W_PM = +OBJ_lok_sfmt_DLL_D = +PDB_lok_sfmt_DLL_D = +OBJ_LOKI_SFMT_DLL_D_PM = +PDB_LOKI_SFMT_DLL_D_PM = +OBJ_lok_sfmt_DLL_D_W = +PDB_lok_sfmt_DLL_D_W = +OBJ_LOKI_SFMT_DLL_D_W_PM = +PDB_LOKI_SFMT_DLL_D_W_PM = +OBJ_lok_sfmt_DLL_R = +PDB_lok_sfmt_DLL_R = +OBJ_LOKI_SFMT_DLL_R_PM = +PDB_LOKI_SFMT_DLL_R_PM = +OBJ_lok_sfmt_DLL_R_W = +PDB_lok_sfmt_DLL_R_W = +OBJ_LOKI_SFMT_DLL_R_W_PM = +PDB_LOKI_SFMT_DLL_R_W_PM = +OBJ_lok_sfmt_MT_R = +PDB_lok_sfmt_MT_R = +OBJ_LOKI_SFMT_MT_R_PM = +PDB_LOKI_SFMT_MT_R_PM = +OBJ_lok_sfmt_MT_R_W = +PDB_lok_sfmt_MT_R_W = +OBJ_LOKI_SFMT_MT_R_W_PM = +PDB_LOKI_SFMT_MT_R_W_PM = +!endif # LOKI + +# shwild + +OBJ_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.obj +OBJ_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.obj +OBJ_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.obj +PDB_shw_api_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.debug.pdb +PDB_shw_matches_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.debug.pdb +PDB_shw_pattern_MT_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.debug.pdb + +OBJ_SHWILD_MT_D = \ + $(OBJ_shw_api_MT_D)\ + $(OBJ_shw_matches_MT_D)\ + $(OBJ_shw_pattern_MT_D)\ + \ + +PDB_SHWILD_MT_D = \ + $(PDB_shw_api_MT_D)\ + $(PDB_shw_matches_MT_D)\ + $(PDB_shw_pattern_MT_D)\ + \ + +OBJ_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.obj +OBJ_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.obj +OBJ_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.obj +PDB_shw_api_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.debug.pdb +PDB_shw_matches_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.debug.pdb +PDB_shw_pattern_MT_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.debug.pdb + +OBJ_SHWILD_MT_D_W = \ + $(OBJ_shw_api_MT_D_W)\ + $(OBJ_shw_matches_MT_D_W)\ + $(OBJ_shw_pattern_MT_D_W)\ + \ + +PDB_SHWILD_MT_D_W = \ + $(PDB_shw_api_MT_D_W)\ + $(PDB_shw_matches_MT_D_W)\ + $(PDB_shw_pattern_MT_D_W)\ + \ + +OBJ_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.obj +OBJ_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.obj +OBJ_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.obj +PDB_shw_api_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.debug.pdb +PDB_shw_matches_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.debug.pdb +PDB_shw_pattern_DLL_D = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.debug.pdb + +OBJ_SHWILD_DLL_D = \ + $(OBJ_shw_api_DLL_D)\ + $(OBJ_shw_matches_DLL_D)\ + $(OBJ_shw_pattern_DLL_D)\ + \ + +PDB_SHWILD_DLL_D = \ + $(PDB_shw_api_DLL_D)\ + $(PDB_shw_matches_DLL_D)\ + $(PDB_shw_pattern_DLL_D)\ + \ + +OBJ_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.obj +OBJ_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.obj +OBJ_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.obj +PDB_shw_api_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.debug.pdb +PDB_shw_matches_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.debug.pdb +PDB_shw_pattern_DLL_D_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.debug.pdb + +OBJ_SHWILD_DLL_D_W = \ + $(OBJ_shw_api_DLL_D_W)\ + $(OBJ_shw_matches_DLL_D_W)\ + $(OBJ_shw_pattern_DLL_D_W)\ + \ + +PDB_SHWILD_DLL_D_W = \ + $(PDB_shw_api_DLL_D_W)\ + $(PDB_shw_matches_DLL_D_W)\ + $(PDB_shw_pattern_DLL_D_W)\ + \ + +OBJ_shw_api_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.api.dll.obj +OBJ_shw_matches_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.dll.obj +OBJ_shw_pattern_DLL_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.dll.obj + +OBJ_SHWILD_DLL_R = \ + $(OBJ_shw_api_DLL_R)\ + $(OBJ_shw_matches_DLL_R)\ + $(OBJ_shw_pattern_DLL_R)\ + \ + +OBJ_shw_api_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.dll.obj +OBJ_shw_matches_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.dll.obj +OBJ_shw_pattern_DLL_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.dll.obj + +OBJ_SHWILD_DLL_R_W = \ + $(OBJ_shw_api_DLL_R_W)\ + $(OBJ_shw_matches_DLL_R_W)\ + $(OBJ_shw_pattern_DLL_R_W)\ + \ + +OBJ_shw_api_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.api.mt.obj +OBJ_shw_matches_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.matches.mt.obj +OBJ_shw_pattern_MT_R = $(PROJ_OBJ_DIR)\shwild.ff.pattern.mt.obj + +OBJ_SHWILD_MT_R = \ + $(OBJ_shw_api_MT_R)\ + $(OBJ_shw_matches_MT_R)\ + $(OBJ_shw_pattern_MT_R)\ + \ + +OBJ_shw_api_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.api.widestring.mt.obj +OBJ_shw_matches_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.matches.widestring.mt.obj +OBJ_shw_pattern_MT_R_W = $(PROJ_OBJ_DIR)\shwild.ff.pattern.widestring.mt.obj + +OBJ_SHWILD_MT_R_W = \ + $(OBJ_shw_api_MT_R_W)\ + $(OBJ_shw_matches_MT_R_W)\ + $(OBJ_shw_pattern_MT_R_W)\ + \ + + +# xtests + +OBJ_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.obj +PDB_xts_core_MT_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.debug.pdb + +OBJ_XTESTS_MT_D = \ + $(OBJ_xts_core_MT_D)\ + \ + +PDB_CORE_MT_D = \ + $(PDB_xts_core_MT_D)\ + \ + +OBJ_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.obj +PDB_xts_core_MT_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.debug.pdb + +OBJ_XTESTS_MT_D_W = \ + $(OBJ_xts_core_MT_D_W)\ + \ + +PDB_CORE_MT_D_W = \ + $(PDB_xts_core_MT_D_W)\ + \ + +OBJ_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.obj +PDB_xts_core_DLL_D = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.debug.pdb + +OBJ_XTESTS_DLL_D = \ + $(OBJ_xts_core_DLL_D)\ + \ + +PDB_CORE_DLL_D = \ + $(PDB_xts_core_DLL_D)\ + \ + +OBJ_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.obj +PDB_xts_core_DLL_D_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.debug.pdb + +OBJ_XTESTS_DLL_D_W = \ + $(OBJ_xts_core_DLL_D_W)\ + \ + +PDB_CORE_DLL_D_W = \ + $(PDB_xts_core_DLL_D_W)\ + \ + +OBJ_xts_core_DLL_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.dll.obj + +OBJ_XTESTS_DLL_R = \ + $(OBJ_xts_core_DLL_R)\ + \ + +OBJ_xts_core_DLL_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.dll.obj + +OBJ_XTESTS_DLL_R_W = \ + $(OBJ_xts_core_DLL_R_W)\ + \ + +OBJ_xts_core_MT_R = $(PROJ_OBJ_DIR)\xtests.ff.core.api.mt.obj + +OBJ_XTESTS_MT_R = \ + $(OBJ_xts_core_MT_R)\ + \ + +OBJ_xts_core_MT_R_W = $(PROJ_OBJ_DIR)\xtests.ff.core.api.widestring.mt.obj + +OBJ_XTESTS_MT_R_W = \ + $(OBJ_xts_core_MT_R_W)\ + \ + + +######################################## +# Examples + +OBJ_BITBUCKET_MT_D = $(PROJ_OBJ_DIR)\bitbucket.mt.debug.obj +OBJ_BITBUCKET_MT_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.debug.obj +OBJ_BITBUCKET_DLL_D = $(PROJ_OBJ_DIR)\bitbucket.dll.debug.obj +OBJ_BITBUCKET_DLL_D_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.debug.obj +OBJ_BITBUCKET_DLL_R = $(PROJ_OBJ_DIR)\bitbucket.dll.obj +OBJ_BITBUCKET_DLL_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.dll.obj +OBJ_BITBUCKET_MT_R = $(PROJ_OBJ_DIR)\bitbucket.mt.obj +OBJ_BITBUCKET_MT_R_W = $(PROJ_OBJ_DIR)\bitbucket.widestring.mt.obj + +OBJ_EX_boolean_MT_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.debug.obj +OBJ_EX_character_MT_D = $(PROJ_OBJ_DIR)\example.arguments.character.mt.debug.obj +OBJ_EX_reals_MT_D = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.debug.obj +OBJ_EX_voidptrs_MT_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.debug.obj +OBJ_EX_ff_101_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.mt.debug.obj +OBJ_EX_ff_101_nons_MT_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.debug.obj +OBJ_EX_flush_MT_D = $(PROJ_OBJ_DIR)\example.flush.mt.debug.obj +OBJ_EX_ins_hex_MT_D = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.debug.obj +OBJ_EX_ins_integers_MT_D = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.debug.obj +OBJ_EX_ins_reals_MT_D = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.debug.obj +OBJ_EX_ins_WLIs_MT_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.debug.obj +OBJ_EX_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.debug.obj +OBJ_EX_ovld2_filt_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.debug.obj +OBJ_EX_ovld2_ins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.debug.obj +OBJ_EX_ovld2_sas_MT_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.debug.obj +OBJ_EX_ovld3_fpcol_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.debug.obj +OBJ_EX_ovld3_tabs_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.debug.obj +OBJ_EX_ovld3_svrlg_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.debug.obj +OBJ_EX_ovld3_rcins_MT_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.debug.obj +OBJ_EX_pad_hash_MT_D = $(PROJ_OBJ_DIR)\example.pad.hash.mt.debug.obj +OBJ_EX_sink_cstr_MT_D = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.debug.obj +OBJ_EX_sink_ODS_MT_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.debug.obj + +OBJ_EX_boolean_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.debug.obj +OBJ_EX_character_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.debug.obj +OBJ_EX_reals_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.debug.obj +OBJ_EX_voidptrs_MT_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.debug.obj +OBJ_EX_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_EX_sink_ODS_MT_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.debug.obj + +OBJ_EX_boolean_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.debug.obj +OBJ_EX_character_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.character.dll.debug.obj +OBJ_EX_reals_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.debug.obj +OBJ_EX_voidptrs_DLL_D = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.debug.obj +OBJ_EX_ff_101_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.dll.debug.obj +OBJ_EX_ff_101_nons_DLL_D = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.debug.obj +OBJ_EX_flush_DLL_D = $(PROJ_OBJ_DIR)\example.flush.dll.debug.obj +OBJ_EX_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.debug.obj +OBJ_EX_ins_integers_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.debug.obj +OBJ_EX_ins_reals_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.debug.obj +OBJ_EX_ins_WLIs_DLL_D = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.debug.obj +OBJ_EX_ovld2_filt_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.debug.obj +OBJ_EX_ovld2_ins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.debug.obj +OBJ_EX_ovld2_sas_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.debug.obj +OBJ_EX_ovld3_fpcol_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.debug.obj +OBJ_EX_ovld3_tabs_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.debug.obj +OBJ_EX_ovld3_svrlg_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.debug.obj +OBJ_EX_ovld3_rcins_DLL_D = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.debug.obj +OBJ_EX_pad_hash_DLL_D = $(PROJ_OBJ_DIR)\example.pad.hash.dll.debug.obj +OBJ_EX_sink_cstr_DLL_D = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.debug.obj + +OBJ_EX_boolean_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.debug.obj +OBJ_EX_character_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.debug.obj +OBJ_EX_reals_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.debug.obj +OBJ_EX_voidptrs_DLL_D_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.debug.obj +OBJ_EX_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_EX_sink_ODS_DLL_D_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.debug.obj + +OBJ_EX_boolean_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.dll.obj +OBJ_EX_character_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.character.dll.obj +OBJ_EX_reals_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.reals.dll.obj +OBJ_EX_voidptrs_DLL_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.dll.obj +OBJ_EX_ff_101_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.dll.obj +OBJ_EX_ff_101_nons_DLL_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.dll.obj +OBJ_EX_flush_DLL_R = $(PROJ_OBJ_DIR)\example.flush.dll.obj +OBJ_EX_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.hex.dll.obj +OBJ_EX_ins_integers_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.integers.dll.obj +OBJ_EX_ins_reals_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.reals.dll.obj +OBJ_EX_ins_WLIs_DLL_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.dll.obj +OBJ_EX_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.dll.obj +OBJ_EX_ovld2_filt_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.dll.obj +OBJ_EX_ovld2_ins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.dll.obj +OBJ_EX_ovld2_sas_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.dll.obj +OBJ_EX_ovld3_fpcol_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.dll.obj +OBJ_EX_ovld3_tabs_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.dll.obj +OBJ_EX_ovld3_svrlg_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.dll.obj +OBJ_EX_ovld3_rcins_DLL_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.dll.obj +OBJ_EX_pad_hash_DLL_R = $(PROJ_OBJ_DIR)\example.pad.hash.dll.obj +OBJ_EX_sink_cstr_DLL_R = $(PROJ_OBJ_DIR)\example.sink.c_string.dll.obj +OBJ_EX_sink_ODS_DLL_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.dll.obj + +OBJ_EX_boolean_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.dll.obj +OBJ_EX_character_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.dll.obj +OBJ_EX_reals_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.dll.obj +OBJ_EX_voidptrs_DLL_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.dll.obj +OBJ_EX_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.dll.obj +OBJ_EX_sink_ODS_DLL_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.dll.obj + +OBJ_EX_boolean_MT_R = $(PROJ_OBJ_DIR)\example.arguments.boolean.mt.obj +OBJ_EX_character_MT_R = $(PROJ_OBJ_DIR)\example.arguments.character.mt.obj +OBJ_EX_reals_MT_R = $(PROJ_OBJ_DIR)\example.arguments.reals.mt.obj +OBJ_EX_voidptrs_MT_R = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.mt.obj +OBJ_EX_ff_101_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.mt.obj +OBJ_EX_ff_101_nons_MT_R = $(PROJ_OBJ_DIR)\example.ff_101.no_namespace.mt.obj +OBJ_EX_flush_MT_R = $(PROJ_OBJ_DIR)\example.flush.mt.obj +OBJ_EX_ins_hex_MT_R = $(PROJ_OBJ_DIR)\example.inserter.hex.mt.obj +OBJ_EX_ins_integers_MT_R = $(PROJ_OBJ_DIR)\example.inserter.integers.mt.obj +OBJ_EX_ins_reals_MT_R = $(PROJ_OBJ_DIR)\example.inserter.reals.mt.obj +OBJ_EX_ins_WLIs_MT_R = $(PROJ_OBJ_DIR)\example.inserter.WLIs.mt.obj +OBJ_EX_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.mt.obj +OBJ_EX_ovld2_filt_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.filter.mt.obj +OBJ_EX_ovld2_ins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.inserter.mt.obj +OBJ_EX_ovld2_sas_MT_R = $(PROJ_OBJ_DIR)\example.ovld.2.hero.sas.mt.obj +OBJ_EX_ovld3_fpcol_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.flpt.cols.mt.obj +OBJ_EX_ovld3_tabs_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.tabs.mt.obj +OBJ_EX_ovld3_svrlg_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.svr.log.mt.obj +OBJ_EX_ovld3_rcins_MT_R = $(PROJ_OBJ_DIR)\example.ovld.3.rec.ins.mt.obj +OBJ_EX_pad_hash_MT_R = $(PROJ_OBJ_DIR)\example.pad.hash.mt.obj +OBJ_EX_sink_cstr_MT_R = $(PROJ_OBJ_DIR)\example.sink.c_string.mt.obj +OBJ_EX_sink_ODS_MT_R = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.mt.obj + +OBJ_EX_boolean_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.boolean.widestring.mt.obj +OBJ_EX_character_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.character.widestring.mt.obj +OBJ_EX_reals_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.reals.widestring.mt.obj +OBJ_EX_voidptrs_MT_R_W = $(PROJ_OBJ_DIR)\example.arguments.void_pointers.widestring.mt.obj +OBJ_EX_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\example.itrs.fmt_itr.widestring.mt.obj +OBJ_EX_sink_ODS_MT_R_W = $(PROJ_OBJ_DIR)\example.sink.OutputDebugString.widestring.mt.obj + + +######################################## +# Tests + +############################## +# Component Tests + +OBJ_TEST_COMP_file_MT_D = $(PROJ_OBJ_DIR)\test.component.FILE.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D = $(PROJ_OBJ_DIR)\test.component.fsde.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.debug.obj +OBJ_TEST_COMP_file_MT_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.debug.obj +OBJ_TEST_COMP_fmt_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_hex_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_int_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.debug.obj +OBJ_TEST_COMP_ins_real_MT_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.debug.obj +OBJ_TEST_COMP_itr_fmt_MT_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.debug.obj +OBJ_TEST_COMP_null_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_COMP_write_sink_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.debug.obj +OBJ_TEST_COMP_x_1_MT_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.debug.obj +OBJ_TEST_COMP_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_COMP_file_DLL_D = $(PROJ_OBJ_DIR)\test.component.FILE.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D = $(PROJ_OBJ_DIR)\test.component.fsde.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.debug.obj +OBJ_TEST_COMP_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.debug.obj +OBJ_TEST_COMP_fmt_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_hex_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_int_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.debug.obj +OBJ_TEST_COMP_ins_real_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.debug.obj +OBJ_TEST_COMP_itr_fmt_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.debug.obj +OBJ_TEST_COMP_null_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_COMP_write_sink_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.debug.obj +OBJ_TEST_COMP_x_1_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.debug.obj +OBJ_TEST_COMP_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_COMP_file_DLL_R = $(PROJ_OBJ_DIR)\test.component.FILE.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.null.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.dll.obj +OBJ_TEST_COMP_x_1_DLL_R = $(PROJ_OBJ_DIR)\test.component.fsde.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.dll.obj +OBJ_TEST_COMP_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.dll.obj +OBJ_TEST_COMP_fmt_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.dll.obj +OBJ_TEST_COMP_ins_hex_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.dll.obj +OBJ_TEST_COMP_ins_int_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.dll.obj +OBJ_TEST_COMP_ins_real_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.dll.obj +OBJ_TEST_COMP_itr_fmt_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.dll.obj +OBJ_TEST_COMP_null_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.dll.obj +OBJ_TEST_COMP_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_COMP_write_sink_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.dll.obj +OBJ_TEST_COMP_x_1_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.dll.obj +OBJ_TEST_COMP_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.dll.obj +OBJ_TEST_COMP_file_MT_R = $(PROJ_OBJ_DIR)\test.component.FILE.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.hex.mt.obj +OBJ_TEST_COMP_ins_int_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.integer.mt.obj +OBJ_TEST_COMP_ins_real_MT_R = $(PROJ_OBJ_DIR)\test.component.inserter.real.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.mt.obj +OBJ_TEST_COMP_null_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.null.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.mt.obj +OBJ_TEST_COMP_write_sink_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.string.write.mt.obj +OBJ_TEST_COMP_x_1_MT_R = $(PROJ_OBJ_DIR)\test.component.fsde.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.component.sink.ostream.mt.obj +OBJ_TEST_COMP_file_MT_R_W = $(PROJ_OBJ_DIR)\test.component.FILE.widestring.mt.obj +OBJ_TEST_COMP_fmt_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.fmt.widestring.mt.obj +OBJ_TEST_COMP_ins_hex_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.hex.widestring.mt.obj +OBJ_TEST_COMP_ins_int_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.integer.widestring.mt.obj +OBJ_TEST_COMP_ins_real_MT_R_W = $(PROJ_OBJ_DIR)\test.component.inserter.real.widestring.mt.obj +OBJ_TEST_COMP_itr_fmt_MT_R_W = $(PROJ_OBJ_DIR)\test.component.itrs.fmt_itr.widestring.mt.obj +OBJ_TEST_COMP_null_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.null.widestring.mt.obj +OBJ_TEST_COMP_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_COMP_write_sink_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.string.write.widestring.mt.obj +OBJ_TEST_COMP_x_1_MT_R_W = $(PROJ_OBJ_DIR)\test.component.fsde.widestring.mt.obj +OBJ_TEST_COMP_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.component.sink.ostream.widestring.mt.obj + +############################## +# Performance Tests + +OBJ_TEST_PERF_scen1_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.debug.obj +OBJ_TEST_PERF_scen2_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.debug.obj +OBJ_TEST_PERF_scen3_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.debug.obj +OBJ_TEST_PERF_scen4_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.debug.obj +OBJ_TEST_PERF_scen5_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.debug.obj +OBJ_TEST_PERF_scen6_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.debug.obj +OBJ_TEST_PERF_scen7_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.debug.obj +OBJ_TEST_PERF_scen2_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.debug.obj +OBJ_TEST_PERF_scen3_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.debug.obj +OBJ_TEST_PERF_scen4_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.debug.obj +OBJ_TEST_PERF_scen5_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.debug.obj +OBJ_TEST_PERF_scen6_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.debug.obj +OBJ_TEST_PERF_scen7_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.debug.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_D_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.debug.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.dll.obj +OBJ_TEST_PERF_scen2_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.dll.obj +OBJ_TEST_PERF_scen3_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.dll.obj +OBJ_TEST_PERF_scen4_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.dll.obj +OBJ_TEST_PERF_scen5_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.dll.obj +OBJ_TEST_PERF_scen6_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.dll.obj +OBJ_TEST_PERF_scen7_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.dll.obj +OBJ_TEST_PERF_scen2_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.dll.obj +OBJ_TEST_PERF_scen3_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.dll.obj +OBJ_TEST_PERF_scen4_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.dll.obj +OBJ_TEST_PERF_scen5_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.dll.obj +OBJ_TEST_PERF_scen6_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.dll.obj +OBJ_TEST_PERF_scen7_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.dll.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_DLL_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.dll.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario1.mt.obj +OBJ_TEST_PERF_scen2_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario2.mt.obj +OBJ_TEST_PERF_scen3_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario3.mt.obj +OBJ_TEST_PERF_scen4_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario4.mt.obj +OBJ_TEST_PERF_scen5_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario5.mt.obj +OBJ_TEST_PERF_scen6_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario6.mt.obj +OBJ_TEST_PERF_scen7_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario7.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R = $(PROJ_OBJ_DIR)\test.performance.scenario8.mt.obj +!endif # BOOST +OBJ_TEST_PERF_scen1_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario1.widestring.mt.obj +OBJ_TEST_PERF_scen2_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario2.widestring.mt.obj +OBJ_TEST_PERF_scen3_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario3.widestring.mt.obj +OBJ_TEST_PERF_scen4_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario4.widestring.mt.obj +OBJ_TEST_PERF_scen5_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario5.widestring.mt.obj +OBJ_TEST_PERF_scen6_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario6.widestring.mt.obj +OBJ_TEST_PERF_scen7_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario7.widestring.mt.obj +!ifdef BOOST +OBJ_TEST_PERF_scen8_MT_R_W = $(PROJ_OBJ_DIR)\test.performance.scenario8.widestring.mt.obj +!endif # BOOST + +############################## +# Scratch Tests + +OBJ_TEST_SCRT_file_MT_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.debug.obj +OBJ_TEST_SCRT_file_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.debug.obj +OBJ_TEST_SCRT_file_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.debug.obj +OBJ_TEST_SCRT_file_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.dll.obj +OBJ_TEST_SCRT_file_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.dll.obj +OBJ_TEST_SCRT_file_MT_R = $(PROJ_OBJ_DIR)\test.scratch.FILE.mt.obj +OBJ_TEST_SCRT_file_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.FILE.widestring.mt.obj + +OBJ_TEST_SCRT_speech_MT_D = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.debug.obj +OBJ_TEST_SCRT_speech_MT_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.debug.obj +OBJ_TEST_SCRT_speech_DLL_D = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_D_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.debug.obj +OBJ_TEST_SCRT_speech_DLL_R = $(PROJ_OBJ_DIR)\test.scratch.speech.dll.obj +OBJ_TEST_SCRT_speech_DLL_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.dll.obj +OBJ_TEST_SCRT_speech_MT_R = $(PROJ_OBJ_DIR)\test.scratch.speech.mt.obj +OBJ_TEST_SCRT_speech_MT_R_W = $(PROJ_OBJ_DIR)\test.scratch.speech.widestring.mt.obj + +############################## +# Unit Tests + +OBJ_TEST_UNIT_api_acsllen_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_crlf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_flrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_illfmdh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_init_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_lkptrn_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_msmtchh_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.debug.obj +OBJ_TEST_UNIT_api_prsrpl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.debug.obj +OBJ_TEST_UNIT_bndl_winini_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_ch_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.debug.obj +OBJ_TEST_UNIT_ins_i_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.debug.obj +OBJ_TEST_UNIT_shim_fi_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_a_b_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_c_str_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_chbuf_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_null_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ods_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_ostm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.debug.obj +OBJ_TEST_UNIT_snk_sstm_MT_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_crlf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_flrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_init_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.debug.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.debug.obj +OBJ_TEST_UNIT_bndl_winini_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.debug.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_ch_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.debug.obj +OBJ_TEST_UNIT_ins_i_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.debug.obj +OBJ_TEST_UNIT_shim_fi_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_a_b_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_c_str_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_null_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ods_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_ostm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.debug.obj +OBJ_TEST_UNIT_snk_sstm_DLL_D_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.debug.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.CString.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.dll.obj + +OBJ_TEST_UNIT_api_acsllen_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.dll.obj +OBJ_TEST_UNIT_api_crlf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.dll.obj +OBJ_TEST_UNIT_api_flrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.dll.obj +OBJ_TEST_UNIT_api_illfmdh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_init_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.dll.obj +OBJ_TEST_UNIT_api_lkptrn_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.dll.obj +OBJ_TEST_UNIT_api_msmtchh_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.dll.obj +OBJ_TEST_UNIT_api_prsrpl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.dll.obj +OBJ_TEST_UNIT_bndl_winini_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.dll.obj +OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.dll.obj +OBJ_TEST_UNIT_ins_ch_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.dll.obj +OBJ_TEST_UNIT_ins_i_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.dll.obj +OBJ_TEST_UNIT_shim_fi_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.dll.obj +OBJ_TEST_UNIT_snk_a_b_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_c_str_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.dll.obj +OBJ_TEST_UNIT_snk_chbuf_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.dll.obj +OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.CString.widestring.dll.obj +OBJ_TEST_UNIT_snk_null_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.dll.obj +OBJ_TEST_UNIT_snk_ods_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.dll.obj +OBJ_TEST_UNIT_snk_ostm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.dll.obj +OBJ_TEST_UNIT_snk_sstm_DLL_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.dll.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.mt.obj +OBJ_TEST_UNIT_api_init_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.character.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.null.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.mt.obj + +OBJ_TEST_UNIT_api_acsllen_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.accum_slice_lens.widestring.mt.obj +OBJ_TEST_UNIT_api_crlf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.crlf_for_platform.widestring.mt.obj +OBJ_TEST_UNIT_api_flrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.fill_replacements.widestring.mt.obj +OBJ_TEST_UNIT_api_illfmdh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.illformed_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_init_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.initialisation.widestring.mt.obj +OBJ_TEST_UNIT_api_lkptrn_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.lookup_pattern.widestring.mt.obj +OBJ_TEST_UNIT_api_msmtchh_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.mismatched_handlers.widestring.mt.obj +OBJ_TEST_UNIT_api_prsrpl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.api.parse_format.widestring.mt.obj +OBJ_TEST_UNIT_bndl_winini_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.bundle.winini.widestring.mt.obj +OBJ_TEST_UNIT_fmt_stdfl_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.format.standard_flags.widestring.mt.obj +OBJ_TEST_UNIT_ins_ch_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.character.widestring.mt.obj +OBJ_TEST_UNIT_ins_i_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.inserters.integer.widestring.mt.obj +OBJ_TEST_UNIT_shim_fi_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.shims.fast_integers.widestring.mt.obj +OBJ_TEST_UNIT_snk_a_b_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.auto_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_c_str_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.c_string.widestring.mt.obj +OBJ_TEST_UNIT_snk_chbuf_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.char_buffer.widestring.mt.obj +OBJ_TEST_UNIT_snk_null_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.null.widestring.mt.obj +OBJ_TEST_UNIT_snk_ods_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ODS.widestring.mt.obj +OBJ_TEST_UNIT_snk_ostm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.ostream.widestring.mt.obj +OBJ_TEST_UNIT_snk_sstm_MT_R_W = $(PROJ_OBJ_DIR)\test.unit.sink.stringstream.widestring.mt.obj + +################################################## +# Target groups + +######################################## +# Objects + +# fastformat +OBJS_CORE = \ + $(OBJ_CORE_MT_D)\ + $(OBJ_CORE_MT_D_W)\ + $(OBJ_CORE_DLL_D)\ + $(OBJ_CORE_DLL_D_W)\ + $(OBJ_CORE_DLL_R)\ + $(OBJ_CORE_DLL_R_W)\ + $(OBJ_CORE_MT_R)\ + $(OBJ_CORE_MT_R_W)\ + \ + +# Loki.SafeFormat +OBJS_LOKI_SFMT = \ + $(OBJ_LOKI_SFMT_MT_D)\ + $(OBJ_LOKI_SFMT_MT_D_W)\ + $(OBJ_LOKI_SFMT_DLL_D)\ + $(OBJ_LOKI_SFMT_DLL_D_W)\ + $(OBJ_LOKI_SFMT_DLL_R)\ + $(OBJ_LOKI_SFMT_DLL_R_W)\ + $(OBJ_LOKI_SFMT_MT_R)\ + $(OBJ_LOKI_SFMT_MT_R_W)\ + \ + +# shwild +OBJS_SHWILD = \ + $(OBJ_SHWILD_MT_D)\ + $(OBJ_SHWILD_MT_D_W)\ + $(OBJ_SHWILD_DLL_D)\ + $(OBJ_SHWILD_DLL_D_W)\ + $(OBJ_SHWILD_DLL_R)\ + $(OBJ_SHWILD_DLL_R_W)\ + $(OBJ_SHWILD_MT_R)\ + $(OBJ_SHWILD_MT_R_W)\ + \ + +# xtests +OBJS_XTESTS = \ + $(OBJ_XTESTS_MT_D)\ + $(OBJ_XTESTS_MT_D_W)\ + $(OBJ_XTESTS_DLL_D)\ + $(OBJ_XTESTS_DLL_D_W)\ + $(OBJ_XTESTS_DLL_R)\ + $(OBJ_XTESTS_DLL_R_W)\ + $(OBJ_XTESTS_MT_R)\ + $(OBJ_XTESTS_MT_R_W)\ + \ + +# Examples +OBJS_EXAMPLE=\ + $(OBJ_EX_boolean_MT_D)\ + $(OBJ_EX_character_MT_D)\ + $(OBJ_EX_reals_MT_D)\ + $(OBJ_EX_voidptrs_MT_D)\ + $(OBJ_EX_ff_101_MT_D)\ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(OBJ_EX_flush_MT_D)\ + $(OBJ_EX_ins_hex_MT_D)\ + $(OBJ_EX_ins_integers_MT_D)\ + $(OBJ_EX_ins_reals_MT_D)\ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(OBJ_EX_itr_fmt_MT_D)\ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(OBJ_EX_pad_hash_MT_D)\ + $(OBJ_EX_sink_cstr_MT_D)\ + $(OBJ_EX_sink_ODS_MT_D)\ + $(OBJ_EX_boolean_MT_D_W)\ + $(OBJ_EX_character_MT_D_W)\ + $(OBJ_EX_reals_MT_D_W)\ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(OBJ_EX_boolean_DLL_D)\ + $(OBJ_EX_character_DLL_D)\ + $(OBJ_EX_reals_DLL_D)\ + $(OBJ_EX_voidptrs_DLL_D)\ + $(OBJ_EX_ff_101_DLL_D)\ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(OBJ_EX_flush_DLL_D)\ + $(OBJ_EX_ins_hex_DLL_D)\ + $(OBJ_EX_ins_integers_DLL_D)\ + $(OBJ_EX_ins_reals_DLL_D)\ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(OBJ_EX_pad_hash_DLL_D)\ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(OBJ_EX_boolean_DLL_D_W)\ + $(OBJ_EX_character_DLL_D_W)\ + $(OBJ_EX_reals_DLL_D_W)\ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(OBJ_EX_boolean_DLL_R)\ + $(OBJ_EX_character_DLL_R)\ + $(OBJ_EX_reals_DLL_R)\ + $(OBJ_EX_voidptrs_DLL_R)\ + $(OBJ_EX_ff_101_DLL_R)\ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(OBJ_EX_flush_DLL_R)\ + $(OBJ_EX_ins_hex_DLL_R)\ + $(OBJ_EX_ins_integers_DLL_R)\ + $(OBJ_EX_ins_reals_DLL_R)\ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(OBJ_EX_pad_hash_DLL_R)\ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(OBJ_EX_boolean_DLL_R_W)\ + $(OBJ_EX_character_DLL_R_W)\ + $(OBJ_EX_reals_DLL_R_W)\ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(OBJ_EX_boolean_MT_R)\ + $(OBJ_EX_character_MT_R)\ + $(OBJ_EX_reals_MT_R)\ + $(OBJ_EX_voidptrs_MT_R)\ + $(OBJ_EX_ff_101_MT_R)\ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(OBJ_EX_flush_MT_R)\ + $(OBJ_EX_ins_hex_MT_R)\ + $(OBJ_EX_ins_integers_MT_R)\ + $(OBJ_EX_ins_reals_MT_R)\ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(OBJ_EX_itr_fmt_MT_R)\ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(OBJ_EX_pad_hash_MT_R)\ + $(OBJ_EX_sink_cstr_MT_R)\ + $(OBJ_EX_sink_ODS_MT_R)\ + $(OBJ_EX_boolean_MT_R_W)\ + $(OBJ_EX_character_MT_R_W)\ + $(OBJ_EX_reals_MT_R_W)\ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(OBJ_EX_sink_ODS_MT_R_W)\ + \ + +# Component Tests +OBJS_TEST_COMPONENT=\ + $(OBJ_TEST_COMP_file_MT_D)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_COMP_file_MT_R)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +# Performance Tests +OBJS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +OBJS_TEST_PERFORMANCE_BOOST=\ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(OBJ_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +OBJS_TEST_PERFORMANCE=\ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(OBJS_TEST_PERFORMANCE_BOOST)\ + \ + +# Scratch Tests +OBJS_TEST_SCRATCH=\ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + \ + +# Unit Tests +OBJS_TEST_UNIT=\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +######################################## +# Libraries + +TARGETS_LIB_CORE = \ + $(LIB_CORE_MT_D)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_CORE_DLL_D)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_CORE_DLL_R)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_CORE_MT_R)\ + $(LIB_CORE_MT_R_W)\ + \ + +TARGETS_LIB_LOKI_SFMT = \ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + \ + +TARGETS_LIB_SHWILD = \ + $(LIB_SHWILD_MT_D)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_SHWILD_MT_R_W)\ + \ + +TARGETS_LIB_XTESTS = \ + $(LIB_XTESTS_MT_D)\ + $(LIB_XTESTS_MT_D_W)\ + $(LIB_XTESTS_DLL_D)\ + $(LIB_XTESTS_DLL_D_W)\ + $(LIB_XTESTS_DLL_R)\ + $(LIB_XTESTS_DLL_R_W)\ + $(LIB_XTESTS_MT_R)\ + $(LIB_XTESTS_MT_R_W)\ + \ + +######################################## +# Programs + +TARGETS_BITBUCKET=\ + $(TARG_BITBUCKET_MT_D)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(TARG_BITBUCKET_DLL_D)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_R)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(TARG_BITBUCKET_MT_R)\ + $(TARG_BITBUCKET_MT_R_W)\ + \ + +TARGETS_EXAMPLE=\ + $(TARG_EX_boolean_MT_D)\ + $(TARG_EX_character_MT_D)\ + $(TARG_EX_reals_MT_D)\ + $(TARG_EX_voidptrs_MT_D)\ + $(TARG_EX_ff_101_MT_D)\ + $(TARG_EX_ff_101_nons_MT_D)\ + $(TARG_EX_flush_MT_D)\ + $(TARG_EX_ins_hex_MT_D)\ + $(TARG_EX_ins_integers_MT_D)\ + $(TARG_EX_ins_reals_MT_D)\ + $(TARG_EX_ins_WLIs_MT_D)\ + $(TARG_EX_itr_fmt_MT_D)\ + $(TARG_EX_ovld2_filt_MT_D)\ + $(TARG_EX_ovld2_ins_MT_D)\ + $(TARG_EX_ovld2_sas_MT_D)\ + $(TARG_EX_ovld3_fpcol_MT_D)\ + $(TARG_EX_ovld3_tabs_MT_D)\ + $(TARG_EX_ovld3_svrlg_MT_D)\ + $(TARG_EX_ovld3_rcins_MT_D)\ + $(TARG_EX_pad_hash_MT_D)\ + $(TARG_EX_sink_cstr_MT_D)\ + $(TARG_EX_sink_ODS_MT_D)\ + $(TARG_EX_boolean_MT_D_W)\ + $(TARG_EX_character_MT_D_W)\ + $(TARG_EX_reals_MT_D_W)\ + $(TARG_EX_voidptrs_MT_D_W)\ + $(TARG_EX_itr_fmt_MT_D_W)\ + $(TARG_EX_sink_ODS_MT_D_W)\ + $(TARG_EX_boolean_DLL_D)\ + $(TARG_EX_character_DLL_D)\ + $(TARG_EX_reals_DLL_D)\ + $(TARG_EX_voidptrs_DLL_D)\ + $(TARG_EX_ff_101_DLL_D)\ + $(TARG_EX_ff_101_nons_DLL_D)\ + $(TARG_EX_flush_DLL_D)\ + $(TARG_EX_ins_hex_DLL_D)\ + $(TARG_EX_ins_integers_DLL_D)\ + $(TARG_EX_ins_reals_DLL_D)\ + $(TARG_EX_ins_WLIs_DLL_D)\ + $(TARG_EX_itr_fmt_DLL_D)\ + $(TARG_EX_ovld2_filt_DLL_D)\ + $(TARG_EX_ovld2_ins_DLL_D)\ + $(TARG_EX_ovld2_sas_DLL_D)\ + $(TARG_EX_ovld3_fpcol_DLL_D)\ + $(TARG_EX_ovld3_tabs_DLL_D)\ + $(TARG_EX_ovld3_svrlg_DLL_D)\ + $(TARG_EX_ovld3_rcins_DLL_D)\ + $(TARG_EX_pad_hash_DLL_D)\ + $(TARG_EX_sink_cstr_DLL_D)\ + $(TARG_EX_sink_ODS_DLL_D)\ + $(TARG_EX_boolean_DLL_D_W)\ + $(TARG_EX_character_DLL_D_W)\ + $(TARG_EX_reals_DLL_D_W)\ + $(TARG_EX_voidptrs_DLL_D_W)\ + $(TARG_EX_itr_fmt_DLL_D_W)\ + $(TARG_EX_sink_ODS_DLL_D_W)\ + $(TARG_EX_boolean_DLL_R)\ + $(TARG_EX_character_DLL_R)\ + $(TARG_EX_reals_DLL_R)\ + $(TARG_EX_voidptrs_DLL_R)\ + $(TARG_EX_ff_101_DLL_R)\ + $(TARG_EX_ff_101_nons_DLL_R)\ + $(TARG_EX_flush_DLL_R)\ + $(TARG_EX_ins_hex_DLL_R)\ + $(TARG_EX_ins_integers_DLL_R)\ + $(TARG_EX_ins_reals_DLL_R)\ + $(TARG_EX_ins_WLIs_DLL_R)\ + $(TARG_EX_itr_fmt_DLL_R)\ + $(TARG_EX_ovld2_filt_DLL_R)\ + $(TARG_EX_ovld2_ins_DLL_R)\ + $(TARG_EX_ovld2_sas_DLL_R)\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_pad_hash_DLL_R)\ + $(TARG_EX_sink_cstr_DLL_R)\ + $(TARG_EX_sink_ODS_DLL_R)\ + $(TARG_EX_boolean_DLL_R_W)\ + $(TARG_EX_character_DLL_R_W)\ + $(TARG_EX_reals_DLL_R_W)\ + $(TARG_EX_voidptrs_DLL_R_W)\ + $(TARG_EX_itr_fmt_DLL_R_W)\ + $(TARG_EX_sink_ODS_DLL_R_W)\ + $(TARG_EX_boolean_MT_R)\ + $(TARG_EX_character_MT_R)\ + $(TARG_EX_reals_MT_R)\ + $(TARG_EX_voidptrs_MT_R)\ + $(TARG_EX_ff_101_MT_R)\ + $(TARG_EX_ff_101_nons_MT_R)\ + $(TARG_EX_flush_MT_R)\ + $(TARG_EX_ins_hex_MT_R)\ + $(TARG_EX_ins_integers_MT_R)\ + $(TARG_EX_ins_reals_MT_R)\ + $(TARG_EX_ins_WLIs_MT_R)\ + $(TARG_EX_itr_fmt_MT_R)\ + $(TARG_EX_ovld2_filt_MT_R)\ + $(TARG_EX_ovld2_ins_MT_R)\ + $(TARG_EX_ovld2_sas_MT_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + $(TARG_EX_pad_hash_MT_R)\ + $(TARG_EX_sink_cstr_MT_R)\ + $(TARG_EX_sink_ODS_MT_R)\ + $(TARG_EX_boolean_MT_R_W)\ + $(TARG_EX_character_MT_R_W)\ + $(TARG_EX_reals_MT_R_W)\ + $(TARG_EX_voidptrs_MT_R_W)\ + $(TARG_EX_itr_fmt_MT_R_W)\ + $(TARG_EX_sink_ODS_MT_R_W)\ + \ + +TARGETS_EXAMPLE_OVERLOAD_3=\ + $(TARG_EX_ovld3_fpcol_DLL_R)\ + $(TARG_EX_ovld3_tabs_DLL_R)\ + $(TARG_EX_ovld3_svrlg_DLL_R)\ + $(TARG_EX_ovld3_rcins_DLL_R)\ + $(TARG_EX_ovld3_fpcol_MT_R)\ + $(TARG_EX_ovld3_tabs_MT_R)\ + $(TARG_EX_ovld3_svrlg_MT_R)\ + $(TARG_EX_ovld3_rcins_MT_R)\ + \ + +TARGETS_SAMPLE=\ + \ + +TARGETS_TEST_COMPONENT=\ + $(TARG_TEST_COMP_file_MT_D)\ + $(TARG_TEST_COMP_fmt_sink_MT_D)\ + $(TARG_TEST_COMP_ins_hex_MT_D)\ + $(TARG_TEST_COMP_ins_int_MT_D)\ + $(TARG_TEST_COMP_ins_real_MT_D)\ + $(TARG_TEST_COMP_itr_fmt_MT_D)\ + $(TARG_TEST_COMP_null_sink_MT_D)\ + $(TARG_TEST_COMP_snk_a_b_MT_D)\ + $(TARG_TEST_COMP_write_sink_MT_D)\ + $(TARG_TEST_COMP_x_1_MT_D)\ + $(TARG_TEST_COMP_snk_ostm_MT_D)\ + $(TARG_TEST_COMP_file_MT_D_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_D_W)\ + $(TARG_TEST_COMP_ins_hex_MT_D_W)\ + $(TARG_TEST_COMP_ins_int_MT_D_W)\ + $(TARG_TEST_COMP_ins_real_MT_D_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_D_W)\ + $(TARG_TEST_COMP_null_sink_MT_D_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_D_W)\ + $(TARG_TEST_COMP_write_sink_MT_D_W)\ + $(TARG_TEST_COMP_x_1_MT_D_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_D_W)\ + $(TARG_TEST_COMP_file_DLL_D)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D)\ + $(TARG_TEST_COMP_ins_hex_DLL_D)\ + $(TARG_TEST_COMP_ins_int_DLL_D)\ + $(TARG_TEST_COMP_ins_real_DLL_D)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D)\ + $(TARG_TEST_COMP_null_sink_DLL_D)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D)\ + $(TARG_TEST_COMP_write_sink_DLL_D)\ + $(TARG_TEST_COMP_x_1_DLL_D)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D)\ + $(TARG_TEST_COMP_file_DLL_D_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_D_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_D_W)\ + $(TARG_TEST_COMP_ins_int_DLL_D_W)\ + $(TARG_TEST_COMP_ins_real_DLL_D_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_D_W)\ + $(TARG_TEST_COMP_null_sink_DLL_D_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_D_W)\ + $(TARG_TEST_COMP_write_sink_DLL_D_W)\ + $(TARG_TEST_COMP_x_1_DLL_D_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_D_W)\ + $(TARG_TEST_COMP_file_DLL_R)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R)\ + $(TARG_TEST_COMP_ins_hex_DLL_R)\ + $(TARG_TEST_COMP_ins_int_DLL_R)\ + $(TARG_TEST_COMP_ins_real_DLL_R)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R)\ + $(TARG_TEST_COMP_null_sink_DLL_R)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R)\ + $(TARG_TEST_COMP_write_sink_DLL_R)\ + $(TARG_TEST_COMP_x_1_DLL_R)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R)\ + $(TARG_TEST_COMP_file_DLL_R_W)\ + $(TARG_TEST_COMP_fmt_sink_DLL_R_W)\ + $(TARG_TEST_COMP_ins_hex_DLL_R_W)\ + $(TARG_TEST_COMP_ins_int_DLL_R_W)\ + $(TARG_TEST_COMP_ins_real_DLL_R_W)\ + $(TARG_TEST_COMP_itr_fmt_DLL_R_W)\ + $(TARG_TEST_COMP_null_sink_DLL_R_W)\ + $(TARG_TEST_COMP_snk_a_b_DLL_R_W)\ + $(TARG_TEST_COMP_write_sink_DLL_R_W)\ + $(TARG_TEST_COMP_x_1_DLL_R_W)\ + $(TARG_TEST_COMP_snk_ostm_DLL_R_W)\ + $(TARG_TEST_COMP_file_MT_R)\ + $(TARG_TEST_COMP_fmt_sink_MT_R)\ + $(TARG_TEST_COMP_ins_hex_MT_R)\ + $(TARG_TEST_COMP_ins_int_MT_R)\ + $(TARG_TEST_COMP_ins_real_MT_R)\ + $(TARG_TEST_COMP_itr_fmt_MT_R)\ + $(TARG_TEST_COMP_null_sink_MT_R)\ + $(TARG_TEST_COMP_snk_a_b_MT_R)\ + $(TARG_TEST_COMP_write_sink_MT_R)\ + $(TARG_TEST_COMP_x_1_MT_R)\ + $(TARG_TEST_COMP_snk_ostm_MT_R)\ + $(TARG_TEST_COMP_file_MT_R_W)\ + $(TARG_TEST_COMP_fmt_sink_MT_R_W)\ + $(TARG_TEST_COMP_ins_hex_MT_R_W)\ + $(TARG_TEST_COMP_ins_int_MT_R_W)\ + $(TARG_TEST_COMP_ins_real_MT_R_W)\ + $(TARG_TEST_COMP_itr_fmt_MT_R_W)\ + $(TARG_TEST_COMP_null_sink_MT_R_W)\ + $(TARG_TEST_COMP_snk_a_b_MT_R_W)\ + $(TARG_TEST_COMP_write_sink_MT_R_W)\ + $(TARG_TEST_COMP_x_1_MT_R_W)\ + $(TARG_TEST_COMP_snk_ostm_MT_R_W)\ + \ + +TARGETS_TEST_PERFORMANCE_BOOST= + +!ifdef BOOST +TARGETS_TEST_PERFORMANCE_BOOST=\ + $(TARG_TEST_PERF_scen8_MT_D)\ + $(TARG_TEST_PERF_scen8_DLL_D)\ + $(TARG_TEST_PERF_scen8_DLL_R)\ + $(TARG_TEST_PERF_scen8_MT_R)\ + \ + +!endif # BOOST + +TARGETS_TEST_PERFORMANCE=\ + $(TARG_TEST_PERF_scen1_MT_D)\ + $(TARG_TEST_PERF_scen2_MT_D)\ + $(TARG_TEST_PERF_scen3_MT_D)\ + $(TARG_TEST_PERF_scen4_MT_D)\ + $(TARG_TEST_PERF_scen5_MT_D)\ + $(TARG_TEST_PERF_scen6_MT_D)\ + $(TARG_TEST_PERF_scen7_MT_D)\ + $(TARG_TEST_PERF_scen1_DLL_D)\ + $(TARG_TEST_PERF_scen2_DLL_D)\ + $(TARG_TEST_PERF_scen3_DLL_D)\ + $(TARG_TEST_PERF_scen4_DLL_D)\ + $(TARG_TEST_PERF_scen5_DLL_D)\ + $(TARG_TEST_PERF_scen6_DLL_D)\ + $(TARG_TEST_PERF_scen7_DLL_D)\ + $(TARG_TEST_PERF_scen1_DLL_R)\ + $(TARG_TEST_PERF_scen2_DLL_R)\ + $(TARG_TEST_PERF_scen3_DLL_R)\ + $(TARG_TEST_PERF_scen4_DLL_R)\ + $(TARG_TEST_PERF_scen5_DLL_R)\ + $(TARG_TEST_PERF_scen6_DLL_R)\ + $(TARG_TEST_PERF_scen7_DLL_R)\ + $(TARG_TEST_PERF_scen1_MT_R)\ + $(TARG_TEST_PERF_scen2_MT_R)\ + $(TARG_TEST_PERF_scen3_MT_R)\ + $(TARG_TEST_PERF_scen4_MT_R)\ + $(TARG_TEST_PERF_scen5_MT_R)\ + $(TARG_TEST_PERF_scen6_MT_R)\ + $(TARG_TEST_PERF_scen7_MT_R)\ + $(TARGETS_TEST_PERFORMANCE_BOOST)\ + \ + +TARGETS_TEST_SCRATCH=\ + $(TARG_TEST_SCRT_file_MT_D)\ + $(TARG_TEST_SCRT_speech_MT_D)\ + $(TARG_TEST_SCRT_file_MT_D_W)\ + $(TARG_TEST_SCRT_speech_MT_D_W)\ + $(TARG_TEST_SCRT_file_DLL_D)\ + $(TARG_TEST_SCRT_speech_DLL_D)\ + $(TARG_TEST_SCRT_file_DLL_D_W)\ + $(TARG_TEST_SCRT_speech_DLL_D_W)\ + $(TARG_TEST_SCRT_file_DLL_R)\ + $(TARG_TEST_SCRT_speech_DLL_R)\ + $(TARG_TEST_SCRT_file_DLL_R_W)\ + $(TARG_TEST_SCRT_speech_DLL_R_W)\ + $(TARG_TEST_SCRT_file_MT_R)\ + $(TARG_TEST_SCRT_speech_MT_R)\ + $(TARG_TEST_SCRT_file_MT_R_W)\ + $(TARG_TEST_SCRT_speech_MT_R_W)\ + \ + +TARGETS_TEST_UNIT=\ + $(TARG_TEST_UNIT_api_acsllen_MT_D)\ + $(TARG_TEST_UNIT_api_crlf_MT_D)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D)\ + $(TARG_TEST_UNIT_api_init_MT_D)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D)\ + $(TARG_TEST_UNIT_ins_ch_MT_D)\ + $(TARG_TEST_UNIT_ins_i_MT_D)\ + $(TARG_TEST_UNIT_shim_fi_MT_D)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D)\ + $(TARG_TEST_UNIT_snk_null_MT_D)\ + $(TARG_TEST_UNIT_snk_ods_MT_D)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D)\ + $(TARG_TEST_UNIT_api_acsllen_MT_D_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(TARG_TEST_UNIT_api_init_MT_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_D_W)\ + $(TARG_TEST_UNIT_ins_i_MT_D_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(TARG_TEST_UNIT_snk_null_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D)\ + $(TARG_TEST_UNIT_api_init_DLL_D)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D)\ + $(TARG_TEST_UNIT_ins_i_DLL_D)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(TARG_TEST_UNIT_snk_null_DLL_D)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_D_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_init_DLL_D_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_D_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_D_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R)\ + $(TARG_TEST_UNIT_api_init_DLL_R)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R)\ + $(TARG_TEST_UNIT_ins_i_DLL_R)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(TARG_TEST_UNIT_snk_null_DLL_R)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R)\ + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(TARG_TEST_UNIT_api_crlf_DLL_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_init_DLL_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_ch_DLL_R_W)\ + $(TARG_TEST_UNIT_ins_i_DLL_R_W)\ + $(TARG_TEST_UNIT_shim_fi_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_null_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ods_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R)\ + $(TARG_TEST_UNIT_api_crlf_MT_R)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R)\ + $(TARG_TEST_UNIT_api_init_MT_R)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R)\ + $(TARG_TEST_UNIT_ins_ch_MT_R)\ + $(TARG_TEST_UNIT_ins_i_MT_R)\ + $(TARG_TEST_UNIT_shim_fi_MT_R)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R)\ + $(TARG_TEST_UNIT_snk_null_MT_R)\ + $(TARG_TEST_UNIT_snk_ods_MT_R)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R)\ + $(TARG_TEST_UNIT_api_acsllen_MT_R_W)\ + $(TARG_TEST_UNIT_api_crlf_MT_R_W)\ + $(TARG_TEST_UNIT_api_flrpl_MT_R_W)\ + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(TARG_TEST_UNIT_api_init_MT_R_W)\ + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(TARG_TEST_UNIT_bndl_winini_MT_R_W)\ + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(TARG_TEST_UNIT_ins_ch_MT_R_W)\ + $(TARG_TEST_UNIT_ins_i_MT_R_W)\ + $(TARG_TEST_UNIT_shim_fi_MT_R_W)\ + $(TARG_TEST_UNIT_snk_a_b_MT_R_W)\ + $(TARG_TEST_UNIT_snk_c_str_MT_R_W)\ + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(TARG_TEST_UNIT_snk_null_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ods_MT_R_W)\ + $(TARG_TEST_UNIT_snk_ostm_MT_R_W)\ + $(TARG_TEST_UNIT_snk_sstm_MT_R_W)\ + \ + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] )\ + +############################################################ +# Third-party libraries + + + + + + + + + +############################################################################ +# External Targets (invokable by make) + +# primary + +_default: build + +usage: + @echo "make [usage | compile | build | test | clean] - default is 'build'" + +# infrastructure + + + +prep.files.for.os: $(PROJ_MAKEFILE_NAME)\ + \ + +prep: prep.files.for.os + + +check.lib.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + +check.bin.dir: $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_BIN_DIR)\NUL mkdir $(PROJ_BIN_DIR) + + +# compile targets + +compile.libs.core: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_CORE) + +compile.libs.loki.safefmt: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_LOKI_SFMT) + +compile.libs.shwild: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SHWILD) + +compile.libs.xtests: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_XTESTS) + +compile.libs: compile.libs.core compile.libs.loki.safefmt compile.libs.shwild compile.libs.xtests + +compile.bitbucket: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_BITBUCKET) + +compile.examples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_EXAMPLE) + +compile.samples: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_SAMPLE) + +compile.test.component: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_COMPONENT) + +compile.test.performance: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_PERFORMANCE) + +compile.test.scratch: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_SCRATCH) + +compile.test.unit: $(PROJ_MAKEFILE_NAME) prep.files.for.os $(OBJS_TEST_UNIT) + +compile.test: compile.test.unit compile.test.component + +compile.test.all: compile.test compile.test.scratch compile.test.performance + +compile: compile.libs compile.test compile.examples compile.samples + +compile.all: compile compile.test.all + + + +# build targets + +build.libs.core: check.lib.dir prep.files.for.os $(TARGETS_LIB_CORE) + +build.libs.loki.safefmt: check.lib.dir prep.files.for.os $(TARGETS_LIB_LOKI_SFMT) + +build.libs.shwild: check.lib.dir prep.files.for.os $(TARGETS_LIB_SHWILD) + +build.libs.xtests: check.lib.dir prep.files.for.os $(TARGETS_LIB_XTESTS) + +build.libs: build.libs.core build.libs.loki.safefmt build.libs.shwild build.libs.xtests + +build.bitbucket: check.bin.dir prep.files.for.os $(TARGETS_BITBUCKET) + +build.examples: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE) + +build.examples.overload.3: check.bin.dir prep.files.for.os $(TARGETS_EXAMPLE_OVERLOAD_3) + +build.samples: check.bin.dir prep.files.for.os $(TARGETS_SAMPLE) + +build.test.component: check.bin.dir prep.files.for.os $(TARGETS_TEST_COMPONENT) + +build.test.performance: check.bin.dir prep.files.for.os $(TARGETS_TEST_PERFORMANCE) + +build.test.scratch: check.bin.dir prep.files.for.os $(TARGETS_TEST_SCRATCH) + +build.test.unit: check.bin.dir prep.files.for.os $(TARGETS_TEST_UNIT) + +build.test: build.test.unit build.test.component build.test.performance + +build.test.all: build.test build.test.scratch + +build: build.libs build.examples build.samples build.test + +build.all: build build.test.all + + + +# test targets + +test.component_: + @echo Running Test:Component programs ... + $(TARG_TEST_COMP_file_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_file_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_fmt_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_hex_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_int_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_ins_real_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_null_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_write_sink_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_x_1_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_COMP_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.performance_: + @echo Running Test:Performance programs ... + $(TARG_TEST_PERF_scen1_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_DLL_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_DLL_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + $(TARG_TEST_PERF_scen1_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen2_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen3_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen4_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen5_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen6_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_PERF_scen7_MT_R) --verbosity=$(TEST_VERBOSITY) +!ifdef BOOST + $(TARG_TEST_PERF_scen8_MT_R) --verbosity=$(TEST_VERBOSITY) +!endif # BOOST + +test.scratch_: + @echo Running Test:Scratch programs ... + $(TARG_TEST_SCRT_file_MT_D) + $(TARG_TEST_SCRT_speech_MT_D) + $(TARG_TEST_SCRT_file_MT_D_W) + $(TARG_TEST_SCRT_speech_MT_D_W) + $(TARG_TEST_SCRT_file_DLL_D) + $(TARG_TEST_SCRT_speech_DLL_D) + $(TARG_TEST_SCRT_file_DLL_D_W) + $(TARG_TEST_SCRT_speech_DLL_D_W) + $(TARG_TEST_SCRT_file_DLL_R) + $(TARG_TEST_SCRT_speech_DLL_R) + $(TARG_TEST_SCRT_file_DLL_R_W) + $(TARG_TEST_SCRT_speech_DLL_R_W) + $(TARG_TEST_SCRT_file_MT_R) + $(TARG_TEST_SCRT_speech_MT_R) + $(TARG_TEST_SCRT_file_MT_R_W) + $(TARG_TEST_SCRT_speech_MT_R_W) + +test.unit_: + @echo Running Test:Unit programs ... + $(TARG_TEST_UNIT_api_acsllen_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_acsllen_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_crlf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_flrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_init_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_bndl_winini_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_ch_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_ins_i_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_shim_fi_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_a_b_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_c_str_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_null_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ods_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_ostm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_TEST_UNIT_snk_sstm_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +# $(TARG_TEST_UNIT_snk_vecfile [ ` [ MakeSymbolTag ] ` ] ) --verbosity=$(TEST_VERBOSITY) + +test.examples_: + @echo Running Example programs ... + $(TARG_EX_boolean_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_hex_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_integers_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_reals_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ins_WLIs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_itr_fmt_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld2_filt_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_tabs_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_svrlg_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_ovld3_rcins_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_pad_hash_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_cstr_MT_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D) + $(TARG_EX_sink_ODS_MT_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_D_W) + $(TARG_EX_sink_ODS_MT_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_hex_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_integers_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_reals_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ins_WLIs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_itr_fmt_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld2_filt_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_D) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_tabs_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_svrlg_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_ovld3_rcins_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_pad_hash_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_cstr_DLL_D) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D) + $(TARG_EX_sink_ODS_DLL_D) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_D_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_D_W) + $(TARG_EX_sink_ODS_DLL_D_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_hex_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_integers_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_reals_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ins_WLIs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_itr_fmt_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld2_filt_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_DLL_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_pad_hash_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_cstr_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_sink_ODS_DLL_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_DLL_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R_W) + $(TARG_EX_sink_ODS_DLL_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ff_101_nons_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_flush_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_hex_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_integers_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_reals_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ins_WLIs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_itr_fmt_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld2_filt_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_ins_MT_R) $(PROJ_BUNDLES_DIR)\superhero.properties --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld2_sas_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_pad_hash_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_cstr_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_sink_ODS_MT_R) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_boolean_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_character_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_reals_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_voidptrs_MT_R_W) --verbosity=$(TEST_VERBOSITY) + $(TARG_EX_itr_fmt_MT_R_W) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R_W) + $(TARG_EX_sink_ODS_MT_R_W) --verbosity=$(TEST_VERBOSITY) + +test.examples.overload.3_: + @echo Running Overload 3 Example programs ... + $(TARG_EX_ovld3_fpcol_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_tabs_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_svrlg_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_rcins_DLL_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_DLL_R) + $(TARG_EX_ovld3_fpcol_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_tabs_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_svrlg_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + $(TARG_EX_ovld3_rcins_MT_R) --verbosity=$(TEST_VERBOSITY) | $(TARG_BITBUCKET_MT_R) + +test.component: build.test.component test.component_ + +test.performance: build.test.performance test.performance_ + +test.scratch: build.test.scratch test.scratch_ + +test.unit: build.test.unit test.unit_ + +test.examples: build.examples test.examples_ + +test.examples.overload.3: build.examples.overload.3 test.examples.overload.3_ + +test: test.unit test.component + +test.all: build.all test test.performance test.scratch test.examples +test-all: test.all + + + +# clean targets + +clean.libs.core: + @echo cleaning Core libraries ... + @-if exist core.*.obj $(RM) core.*.obj + @-if exist core.*.pdb $(RM) core.*.pdb + @-if exist core.*.manifest $(RM) core.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(PROJ_TAG_VER).core.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.loki.safefmt: + @echo cleaning Loki.SafeFormat libraries ... + @-if exist loki.safefmt.*.obj $(RM) loki.safefmt.*.obj + @-if exist loki.safefmt.*.pdb $(RM) loki.safefmt.*.pdb + @-if exist loki.safefmt.*.manifest $(RM) loki.safefmt.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(LOKI_SFMT_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.shwild: + @echo cleaning shwild libraries ... + @-if exist shwild.*.obj $(RM) shwild.*.obj + @-if exist shwild.*.pdb $(RM) shwild.*.pdb + @-if exist shwild.*.manifest $(RM) shwild.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(SHWILD_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs.xtests: + @echo cleaning xTests libraries ... + @-if exist xtests.*.obj $(RM) xtests.*.obj + @-if exist xtests.*.pdb $(RM) xtests.*.pdb + @-if exist xtests.*.manifest $(RM) xtests.*.manifest + @-if exist $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib $(RM) $(PROJ_LIB_DIR)\$(XTESTS_TAG_VER).ff.$(COMP_ARCH_OS_TAG)*.lib + +clean.libs: clean.libs.core clean.libs.loki.safefmt clean.libs.shwild clean.libs.xtests + +clean.bitbucket: + @echo cleaning Bitbucket programs ... + @-if exist $(TARG_BITBUCKET_MT_D) $(RM) $(TARG_BITBUCKET_MT_D) + @-if exist $(OBJ_BITBUCKET_MT_D) $(RM) $(OBJ_BITBUCKET_MT_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_D_W) $(RM) $(TARG_BITBUCKET_MT_D_W) + @-if exist $(OBJ_BITBUCKET_MT_D_W) $(RM) $(OBJ_BITBUCKET_MT_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D) $(RM) $(TARG_BITBUCKET_DLL_D) + @-if exist $(OBJ_BITBUCKET_DLL_D) $(RM) $(OBJ_BITBUCKET_DLL_D) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_D_W) $(RM) $(TARG_BITBUCKET_DLL_D_W) + @-if exist $(OBJ_BITBUCKET_DLL_D_W) $(RM) $(OBJ_BITBUCKET_DLL_D_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R) $(RM) $(TARG_BITBUCKET_DLL_R) + @-if exist $(OBJ_BITBUCKET_DLL_R) $(RM) $(OBJ_BITBUCKET_DLL_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_DLL_R_W) $(RM) $(TARG_BITBUCKET_DLL_R_W) + @-if exist $(OBJ_BITBUCKET_DLL_R_W) $(RM) $(OBJ_BITBUCKET_DLL_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R) $(RM) $(TARG_BITBUCKET_MT_R) + @-if exist $(OBJ_BITBUCKET_MT_R) $(RM) $(OBJ_BITBUCKET_MT_R) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + @-if exist $(TARG_BITBUCKET_MT_R_W) $(RM) $(TARG_BITBUCKET_MT_R_W) + @-if exist $(OBJ_BITBUCKET_MT_R_W) $(RM) $(OBJ_BITBUCKET_MT_R_W) + @-if exist bitbucket.*.pdb $(RM) bitbucket.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).bitbucket.*.manifest + +clean.examples: + @echo cleaning Example programs ... + @-if exist $(TARG_EX_boolean_MT_D) $(RM) $(TARG_EX_boolean_MT_D) + @-if exist $(OBJ_EX_boolean_MT_D) $(RM) $(OBJ_EX_boolean_MT_D) + @-if exist $(TARG_EX_character_MT_D) $(RM) $(TARG_EX_character_MT_D) + @-if exist $(OBJ_EX_character_MT_D) $(RM) $(OBJ_EX_character_MT_D) + @-if exist $(TARG_EX_reals_MT_D) $(RM) $(TARG_EX_reals_MT_D) + @-if exist $(OBJ_EX_reals_MT_D) $(RM) $(OBJ_EX_reals_MT_D) + @-if exist $(TARG_EX_voidptrs_MT_D) $(RM) $(TARG_EX_voidptrs_MT_D) + @-if exist $(OBJ_EX_voidptrs_MT_D) $(RM) $(OBJ_EX_voidptrs_MT_D) + @-if exist $(TARG_EX_ff_101_MT_D) $(RM) $(TARG_EX_ff_101_MT_D) + @-if exist $(OBJ_EX_ff_101_MT_D) $(RM) $(OBJ_EX_ff_101_MT_D) + @-if exist $(TARG_EX_ff_101_nons_MT_D) $(RM) $(TARG_EX_ff_101_nons_MT_D) + @-if exist $(OBJ_EX_ff_101_nons_MT_D) $(RM) $(OBJ_EX_ff_101_nons_MT_D) + @-if exist $(TARG_EX_flush_MT_D) $(RM) $(TARG_EX_flush_MT_D) + @-if exist $(OBJ_EX_flush_MT_D) $(RM) $(OBJ_EX_flush_MT_D) + @-if exist $(TARG_EX_ins_hex_MT_D) $(RM) $(TARG_EX_ins_hex_MT_D) + @-if exist $(OBJ_EX_ins_hex_MT_D) $(RM) $(OBJ_EX_ins_hex_MT_D) + @-if exist $(TARG_EX_ins_integers_MT_D) $(RM) $(TARG_EX_ins_integers_MT_D) + @-if exist $(OBJ_EX_ins_integers_MT_D) $(RM) $(OBJ_EX_ins_integers_MT_D) + @-if exist $(TARG_EX_ins_WLIs_MT_D) $(RM) $(TARG_EX_ins_WLIs_MT_D) + @-if exist $(OBJ_EX_ins_WLIs_MT_D) $(RM) $(OBJ_EX_ins_WLIs_MT_D) + @-if exist $(TARG_EX_ins_reals_MT_D) $(RM) $(TARG_EX_ins_reals_MT_D) + @-if exist $(OBJ_EX_ins_reals_MT_D) $(RM) $(OBJ_EX_ins_reals_MT_D) + @-if exist $(TARG_EX_itr_fmt_MT_D) $(RM) $(TARG_EX_itr_fmt_MT_D) + @-if exist $(OBJ_EX_itr_fmt_MT_D) $(RM) $(OBJ_EX_itr_fmt_MT_D) + @-if exist $(TARG_EX_ovld2_filt_MT_D) $(RM) $(TARG_EX_ovld2_filt_MT_D) + @-if exist $(OBJ_EX_ovld2_filt_MT_D) $(RM) $(OBJ_EX_ovld2_filt_MT_D) + @-if exist $(TARG_EX_ovld2_ins_MT_D) $(RM) $(TARG_EX_ovld2_ins_MT_D) + @-if exist $(OBJ_EX_ovld2_ins_MT_D) $(RM) $(OBJ_EX_ovld2_ins_MT_D) + @-if exist $(TARG_EX_ovld2_sas_MT_D) $(RM) $(TARG_EX_ovld2_sas_MT_D) + @-if exist $(OBJ_EX_ovld2_sas_MT_D) $(RM) $(OBJ_EX_ovld2_sas_MT_D) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D) $(RM) $(TARG_EX_ovld3_fpcol_MT_D) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D) + @-if exist $(TARG_EX_ovld3_tabs_MT_D) $(RM) $(TARG_EX_ovld3_tabs_MT_D) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D) $(RM) $(OBJ_EX_ovld3_tabs_MT_D) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D) $(RM) $(TARG_EX_ovld3_svrlg_MT_D) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D) + @-if exist $(TARG_EX_ovld3_rcins_MT_D) $(RM) $(TARG_EX_ovld3_rcins_MT_D) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D) $(RM) $(OBJ_EX_ovld3_rcins_MT_D) + @-if exist $(TARG_EX_pad_hash_MT_D) $(RM) $(TARG_EX_pad_hash_MT_D) + @-if exist $(OBJ_EX_pad_hash_MT_D) $(RM) $(OBJ_EX_pad_hash_MT_D) + @-if exist $(TARG_EX_sink_cstr_MT_D) $(RM) $(TARG_EX_sink_cstr_MT_D) + @-if exist $(OBJ_EX_sink_cstr_MT_D) $(RM) $(OBJ_EX_sink_cstr_MT_D) + @-if exist $(TARG_EX_sink_ODS_MT_D) $(RM) $(TARG_EX_sink_ODS_MT_D) + @-if exist $(OBJ_EX_sink_ODS_MT_D) $(RM) $(OBJ_EX_sink_ODS_MT_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_D_W) $(RM) $(TARG_EX_boolean_MT_D_W) + @-if exist $(OBJ_EX_boolean_MT_D_W) $(RM) $(OBJ_EX_boolean_MT_D_W) + @-if exist $(TARG_EX_character_MT_D_W) $(RM) $(TARG_EX_character_MT_D_W) + @-if exist $(OBJ_EX_character_MT_D_W) $(RM) $(OBJ_EX_character_MT_D_W) + @-if exist $(TARG_EX_reals_MT_D_W) $(RM) $(TARG_EX_reals_MT_D_W) + @-if exist $(OBJ_EX_reals_MT_D_W) $(RM) $(OBJ_EX_reals_MT_D_W) + @-if exist $(TARG_EX_voidptrs_MT_D_W) $(RM) $(TARG_EX_voidptrs_MT_D_W) + @-if exist $(OBJ_EX_voidptrs_MT_D_W) $(RM) $(OBJ_EX_voidptrs_MT_D_W) + @-if exist $(TARG_EX_ff_101_MT_D_W) $(RM) $(TARG_EX_ff_101_MT_D_W) + @-if exist $(OBJ_EX_ff_101_MT_D_W) $(RM) $(OBJ_EX_ff_101_MT_D_W) + @-if exist $(TARG_EX_ff_101_nons_MT_D_W) $(RM) $(TARG_EX_ff_101_nons_MT_D_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_D_W) $(RM) $(OBJ_EX_ff_101_nons_MT_D_W) + @-if exist $(TARG_EX_flush_MT_D_W) $(RM) $(TARG_EX_flush_MT_D_W) + @-if exist $(OBJ_EX_flush_MT_D_W) $(RM) $(OBJ_EX_flush_MT_D_W) + @-if exist $(TARG_EX_ins_hex_MT_D_W) $(RM) $(TARG_EX_ins_hex_MT_D_W) + @-if exist $(OBJ_EX_ins_hex_MT_D_W) $(RM) $(OBJ_EX_ins_hex_MT_D_W) + @-if exist $(TARG_EX_ins_integers_MT_D_W) $(RM) $(TARG_EX_ins_integers_MT_D_W) + @-if exist $(OBJ_EX_ins_integers_MT_D_W) $(RM) $(OBJ_EX_ins_integers_MT_D_W) + @-if exist $(TARG_EX_ins_WLIs_MT_D_W) $(RM) $(TARG_EX_ins_WLIs_MT_D_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_D_W) $(RM) $(OBJ_EX_ins_WLIs_MT_D_W) + @-if exist $(TARG_EX_ins_reals_MT_D_W) $(RM) $(TARG_EX_ins_reals_MT_D_W) + @-if exist $(OBJ_EX_ins_reals_MT_D_W) $(RM) $(OBJ_EX_ins_reals_MT_D_W) + @-if exist $(TARG_EX_itr_fmt_MT_D_W) $(RM) $(TARG_EX_itr_fmt_MT_D_W) + @-if exist $(OBJ_EX_itr_fmt_MT_D_W) $(RM) $(OBJ_EX_itr_fmt_MT_D_W) + @-if exist $(TARG_EX_ovld2_filt_MT_D_W) $(RM) $(TARG_EX_ovld2_filt_MT_D_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_D_W) $(RM) $(OBJ_EX_ovld2_filt_MT_D_W) + @-if exist $(TARG_EX_ovld2_ins_MT_D_W) $(RM) $(TARG_EX_ovld2_ins_MT_D_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_D_W) $(RM) $(OBJ_EX_ovld2_ins_MT_D_W) + @-if exist $(TARG_EX_ovld2_sas_MT_D_W) $(RM) $(TARG_EX_ovld2_sas_MT_D_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_D_W) $(RM) $(OBJ_EX_ovld2_sas_MT_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_D_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_D_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_D_W) $(RM) $(TARG_EX_ovld3_tabs_MT_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_D_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_D_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_D_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_D_W) $(RM) $(TARG_EX_ovld3_rcins_MT_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_D_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_D_W) + @-if exist $(TARG_EX_pad_hash_MT_D_W) $(RM) $(TARG_EX_pad_hash_MT_D_W) + @-if exist $(OBJ_EX_pad_hash_MT_D_W) $(RM) $(OBJ_EX_pad_hash_MT_D_W) + @-if exist $(TARG_EX_sink_cstr_MT_D_W) $(RM) $(TARG_EX_sink_cstr_MT_D_W) + @-if exist $(OBJ_EX_sink_cstr_MT_D_W) $(RM) $(OBJ_EX_sink_cstr_MT_D_W) + @-if exist $(TARG_EX_sink_ODS_MT_D_W) $(RM) $(TARG_EX_sink_ODS_MT_D_W) + @-if exist $(OBJ_EX_sink_ODS_MT_D_W) $(RM) $(OBJ_EX_sink_ODS_MT_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D) $(RM) $(TARG_EX_boolean_DLL_D) + @-if exist $(OBJ_EX_boolean_DLL_D) $(RM) $(OBJ_EX_boolean_DLL_D) + @-if exist $(TARG_EX_character_DLL_D) $(RM) $(TARG_EX_character_DLL_D) + @-if exist $(OBJ_EX_character_DLL_D) $(RM) $(OBJ_EX_character_DLL_D) + @-if exist $(TARG_EX_reals_DLL_D) $(RM) $(TARG_EX_reals_DLL_D) + @-if exist $(OBJ_EX_reals_DLL_D) $(RM) $(OBJ_EX_reals_DLL_D) + @-if exist $(TARG_EX_voidptrs_DLL_D) $(RM) $(TARG_EX_voidptrs_DLL_D) + @-if exist $(OBJ_EX_voidptrs_DLL_D) $(RM) $(OBJ_EX_voidptrs_DLL_D) + @-if exist $(TARG_EX_ff_101_DLL_D) $(RM) $(TARG_EX_ff_101_DLL_D) + @-if exist $(OBJ_EX_ff_101_DLL_D) $(RM) $(OBJ_EX_ff_101_DLL_D) + @-if exist $(TARG_EX_ff_101_nons_DLL_D) $(RM) $(TARG_EX_ff_101_nons_DLL_D) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D) $(RM) $(OBJ_EX_ff_101_nons_DLL_D) + @-if exist $(TARG_EX_flush_DLL_D) $(RM) $(TARG_EX_flush_DLL_D) + @-if exist $(OBJ_EX_flush_DLL_D) $(RM) $(OBJ_EX_flush_DLL_D) + @-if exist $(TARG_EX_ins_hex_DLL_D) $(RM) $(TARG_EX_ins_hex_DLL_D) + @-if exist $(OBJ_EX_ins_hex_DLL_D) $(RM) $(OBJ_EX_ins_hex_DLL_D) + @-if exist $(TARG_EX_ins_integers_DLL_D) $(RM) $(TARG_EX_ins_integers_DLL_D) + @-if exist $(OBJ_EX_ins_integers_DLL_D) $(RM) $(OBJ_EX_ins_integers_DLL_D) + @-if exist $(TARG_EX_ins_WLIs_DLL_D) $(RM) $(TARG_EX_ins_WLIs_DLL_D) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D) $(RM) $(OBJ_EX_ins_WLIs_DLL_D) + @-if exist $(TARG_EX_ins_reals_DLL_D) $(RM) $(TARG_EX_ins_reals_DLL_D) + @-if exist $(OBJ_EX_ins_reals_DLL_D) $(RM) $(OBJ_EX_ins_reals_DLL_D) + @-if exist $(TARG_EX_itr_fmt_DLL_D) $(RM) $(TARG_EX_itr_fmt_DLL_D) + @-if exist $(OBJ_EX_itr_fmt_DLL_D) $(RM) $(OBJ_EX_itr_fmt_DLL_D) + @-if exist $(TARG_EX_ovld2_filt_DLL_D) $(RM) $(TARG_EX_ovld2_filt_DLL_D) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D) $(RM) $(OBJ_EX_ovld2_filt_DLL_D) + @-if exist $(TARG_EX_ovld2_ins_DLL_D) $(RM) $(TARG_EX_ovld2_ins_DLL_D) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D) $(RM) $(OBJ_EX_ovld2_ins_DLL_D) + @-if exist $(TARG_EX_ovld2_sas_DLL_D) $(RM) $(TARG_EX_ovld2_sas_DLL_D) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D) $(RM) $(OBJ_EX_ovld2_sas_DLL_D) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D) $(RM) $(TARG_EX_ovld3_tabs_DLL_D) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D) $(RM) $(TARG_EX_ovld3_rcins_DLL_D) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D) + @-if exist $(TARG_EX_pad_hash_DLL_D) $(RM) $(TARG_EX_pad_hash_DLL_D) + @-if exist $(OBJ_EX_pad_hash_DLL_D) $(RM) $(OBJ_EX_pad_hash_DLL_D) + @-if exist $(TARG_EX_sink_cstr_DLL_D) $(RM) $(TARG_EX_sink_cstr_DLL_D) + @-if exist $(OBJ_EX_sink_cstr_DLL_D) $(RM) $(OBJ_EX_sink_cstr_DLL_D) + @-if exist $(TARG_EX_sink_ODS_DLL_D) $(RM) $(TARG_EX_sink_ODS_DLL_D) + @-if exist $(OBJ_EX_sink_ODS_DLL_D) $(RM) $(OBJ_EX_sink_ODS_DLL_D) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_D_W) $(RM) $(TARG_EX_boolean_DLL_D_W) + @-if exist $(OBJ_EX_boolean_DLL_D_W) $(RM) $(OBJ_EX_boolean_DLL_D_W) + @-if exist $(TARG_EX_character_DLL_D_W) $(RM) $(TARG_EX_character_DLL_D_W) + @-if exist $(OBJ_EX_character_DLL_D_W) $(RM) $(OBJ_EX_character_DLL_D_W) + @-if exist $(TARG_EX_reals_DLL_D_W) $(RM) $(TARG_EX_reals_DLL_D_W) + @-if exist $(OBJ_EX_reals_DLL_D_W) $(RM) $(OBJ_EX_reals_DLL_D_W) + @-if exist $(TARG_EX_voidptrs_DLL_D_W) $(RM) $(TARG_EX_voidptrs_DLL_D_W) + @-if exist $(OBJ_EX_voidptrs_DLL_D_W) $(RM) $(OBJ_EX_voidptrs_DLL_D_W) + @-if exist $(TARG_EX_ff_101_DLL_D_W) $(RM) $(TARG_EX_ff_101_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_DLL_D_W) $(RM) $(OBJ_EX_ff_101_DLL_D_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_D_W) $(RM) $(TARG_EX_ff_101_nons_DLL_D_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_D_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_D_W) + @-if exist $(TARG_EX_flush_DLL_D_W) $(RM) $(TARG_EX_flush_DLL_D_W) + @-if exist $(OBJ_EX_flush_DLL_D_W) $(RM) $(OBJ_EX_flush_DLL_D_W) + @-if exist $(TARG_EX_ins_hex_DLL_D_W) $(RM) $(TARG_EX_ins_hex_DLL_D_W) + @-if exist $(OBJ_EX_ins_hex_DLL_D_W) $(RM) $(OBJ_EX_ins_hex_DLL_D_W) + @-if exist $(TARG_EX_ins_integers_DLL_D_W) $(RM) $(TARG_EX_ins_integers_DLL_D_W) + @-if exist $(OBJ_EX_ins_integers_DLL_D_W) $(RM) $(OBJ_EX_ins_integers_DLL_D_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_D_W) $(RM) $(TARG_EX_ins_WLIs_DLL_D_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_D_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_D_W) + @-if exist $(TARG_EX_ins_reals_DLL_D_W) $(RM) $(TARG_EX_ins_reals_DLL_D_W) + @-if exist $(OBJ_EX_ins_reals_DLL_D_W) $(RM) $(OBJ_EX_ins_reals_DLL_D_W) + @-if exist $(TARG_EX_itr_fmt_DLL_D_W) $(RM) $(TARG_EX_itr_fmt_DLL_D_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_D_W) $(RM) $(OBJ_EX_itr_fmt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_D_W) $(RM) $(TARG_EX_ovld2_filt_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_D_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_D_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_D_W) $(RM) $(TARG_EX_ovld2_ins_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_D_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_D_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_D_W) $(RM) $(TARG_EX_ovld2_sas_DLL_D_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_D_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_D_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_D_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_D_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_D_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_D_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_D_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_D_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_D_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_D_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_D_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_D_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_D_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_D_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_D_W) + @-if exist $(TARG_EX_pad_hash_DLL_D_W) $(RM) $(TARG_EX_pad_hash_DLL_D_W) + @-if exist $(OBJ_EX_pad_hash_DLL_D_W) $(RM) $(OBJ_EX_pad_hash_DLL_D_W) + @-if exist $(TARG_EX_sink_cstr_DLL_D_W) $(RM) $(TARG_EX_sink_cstr_DLL_D_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_D_W) $(RM) $(OBJ_EX_sink_cstr_DLL_D_W) + @-if exist $(TARG_EX_sink_ODS_DLL_D_W) $(RM) $(TARG_EX_sink_ODS_DLL_D_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_D_W) $(RM) $(OBJ_EX_sink_ODS_DLL_D_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R) $(RM) $(TARG_EX_boolean_DLL_R) + @-if exist $(OBJ_EX_boolean_DLL_R) $(RM) $(OBJ_EX_boolean_DLL_R) + @-if exist $(TARG_EX_character_DLL_R) $(RM) $(TARG_EX_character_DLL_R) + @-if exist $(OBJ_EX_character_DLL_R) $(RM) $(OBJ_EX_character_DLL_R) + @-if exist $(TARG_EX_reals_DLL_R) $(RM) $(TARG_EX_reals_DLL_R) + @-if exist $(OBJ_EX_reals_DLL_R) $(RM) $(OBJ_EX_reals_DLL_R) + @-if exist $(TARG_EX_voidptrs_DLL_R) $(RM) $(TARG_EX_voidptrs_DLL_R) + @-if exist $(OBJ_EX_voidptrs_DLL_R) $(RM) $(OBJ_EX_voidptrs_DLL_R) + @-if exist $(TARG_EX_ff_101_DLL_R) $(RM) $(TARG_EX_ff_101_DLL_R) + @-if exist $(OBJ_EX_ff_101_DLL_R) $(RM) $(OBJ_EX_ff_101_DLL_R) + @-if exist $(TARG_EX_ff_101_nons_DLL_R) $(RM) $(TARG_EX_ff_101_nons_DLL_R) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R) $(RM) $(OBJ_EX_ff_101_nons_DLL_R) + @-if exist $(TARG_EX_flush_DLL_R) $(RM) $(TARG_EX_flush_DLL_R) + @-if exist $(OBJ_EX_flush_DLL_R) $(RM) $(OBJ_EX_flush_DLL_R) + @-if exist $(TARG_EX_ins_hex_DLL_R) $(RM) $(TARG_EX_ins_hex_DLL_R) + @-if exist $(OBJ_EX_ins_hex_DLL_R) $(RM) $(OBJ_EX_ins_hex_DLL_R) + @-if exist $(TARG_EX_ins_integers_DLL_R) $(RM) $(TARG_EX_ins_integers_DLL_R) + @-if exist $(OBJ_EX_ins_integers_DLL_R) $(RM) $(OBJ_EX_ins_integers_DLL_R) + @-if exist $(TARG_EX_ins_WLIs_DLL_R) $(RM) $(TARG_EX_ins_WLIs_DLL_R) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R) $(RM) $(OBJ_EX_ins_WLIs_DLL_R) + @-if exist $(TARG_EX_ins_reals_DLL_R) $(RM) $(TARG_EX_ins_reals_DLL_R) + @-if exist $(OBJ_EX_ins_reals_DLL_R) $(RM) $(OBJ_EX_ins_reals_DLL_R) + @-if exist $(TARG_EX_itr_fmt_DLL_R) $(RM) $(TARG_EX_itr_fmt_DLL_R) + @-if exist $(OBJ_EX_itr_fmt_DLL_R) $(RM) $(OBJ_EX_itr_fmt_DLL_R) + @-if exist $(TARG_EX_ovld2_filt_DLL_R) $(RM) $(TARG_EX_ovld2_filt_DLL_R) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R) $(RM) $(OBJ_EX_ovld2_filt_DLL_R) + @-if exist $(TARG_EX_ovld2_ins_DLL_R) $(RM) $(TARG_EX_ovld2_ins_DLL_R) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R) $(RM) $(OBJ_EX_ovld2_ins_DLL_R) + @-if exist $(TARG_EX_ovld2_sas_DLL_R) $(RM) $(TARG_EX_ovld2_sas_DLL_R) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R) $(RM) $(OBJ_EX_ovld2_sas_DLL_R) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R) $(RM) $(TARG_EX_ovld3_tabs_DLL_R) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R) $(RM) $(TARG_EX_ovld3_rcins_DLL_R) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R) + @-if exist $(TARG_EX_pad_hash_DLL_R) $(RM) $(TARG_EX_pad_hash_DLL_R) + @-if exist $(OBJ_EX_pad_hash_DLL_R) $(RM) $(OBJ_EX_pad_hash_DLL_R) + @-if exist $(TARG_EX_sink_cstr_DLL_R) $(RM) $(TARG_EX_sink_cstr_DLL_R) + @-if exist $(OBJ_EX_sink_cstr_DLL_R) $(RM) $(OBJ_EX_sink_cstr_DLL_R) + @-if exist $(TARG_EX_sink_ODS_DLL_R) $(RM) $(TARG_EX_sink_ODS_DLL_R) + @-if exist $(OBJ_EX_sink_ODS_DLL_R) $(RM) $(OBJ_EX_sink_ODS_DLL_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_DLL_R_W) $(RM) $(TARG_EX_boolean_DLL_R_W) + @-if exist $(OBJ_EX_boolean_DLL_R_W) $(RM) $(OBJ_EX_boolean_DLL_R_W) + @-if exist $(TARG_EX_character_DLL_R_W) $(RM) $(TARG_EX_character_DLL_R_W) + @-if exist $(OBJ_EX_character_DLL_R_W) $(RM) $(OBJ_EX_character_DLL_R_W) + @-if exist $(TARG_EX_reals_DLL_R_W) $(RM) $(TARG_EX_reals_DLL_R_W) + @-if exist $(OBJ_EX_reals_DLL_R_W) $(RM) $(OBJ_EX_reals_DLL_R_W) + @-if exist $(TARG_EX_voidptrs_DLL_R_W) $(RM) $(TARG_EX_voidptrs_DLL_R_W) + @-if exist $(OBJ_EX_voidptrs_DLL_R_W) $(RM) $(OBJ_EX_voidptrs_DLL_R_W) + @-if exist $(TARG_EX_ff_101_DLL_R_W) $(RM) $(TARG_EX_ff_101_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_DLL_R_W) $(RM) $(OBJ_EX_ff_101_DLL_R_W) + @-if exist $(TARG_EX_ff_101_nons_DLL_R_W) $(RM) $(TARG_EX_ff_101_nons_DLL_R_W) + @-if exist $(OBJ_EX_ff_101_nons_DLL_R_W) $(RM) $(OBJ_EX_ff_101_nons_DLL_R_W) + @-if exist $(TARG_EX_flush_DLL_R_W) $(RM) $(TARG_EX_flush_DLL_R_W) + @-if exist $(OBJ_EX_flush_DLL_R_W) $(RM) $(OBJ_EX_flush_DLL_R_W) + @-if exist $(TARG_EX_ins_hex_DLL_R_W) $(RM) $(TARG_EX_ins_hex_DLL_R_W) + @-if exist $(OBJ_EX_ins_hex_DLL_R_W) $(RM) $(OBJ_EX_ins_hex_DLL_R_W) + @-if exist $(TARG_EX_ins_integers_DLL_R_W) $(RM) $(TARG_EX_ins_integers_DLL_R_W) + @-if exist $(OBJ_EX_ins_integers_DLL_R_W) $(RM) $(OBJ_EX_ins_integers_DLL_R_W) + @-if exist $(TARG_EX_ins_WLIs_DLL_R_W) $(RM) $(TARG_EX_ins_WLIs_DLL_R_W) + @-if exist $(OBJ_EX_ins_WLIs_DLL_R_W) $(RM) $(OBJ_EX_ins_WLIs_DLL_R_W) + @-if exist $(TARG_EX_ins_reals_DLL_R_W) $(RM) $(TARG_EX_ins_reals_DLL_R_W) + @-if exist $(OBJ_EX_ins_reals_DLL_R_W) $(RM) $(OBJ_EX_ins_reals_DLL_R_W) + @-if exist $(TARG_EX_itr_fmt_DLL_R_W) $(RM) $(TARG_EX_itr_fmt_DLL_R_W) + @-if exist $(OBJ_EX_itr_fmt_DLL_R_W) $(RM) $(OBJ_EX_itr_fmt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_filt_DLL_R_W) $(RM) $(TARG_EX_ovld2_filt_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_filt_DLL_R_W) $(RM) $(OBJ_EX_ovld2_filt_DLL_R_W) + @-if exist $(TARG_EX_ovld2_ins_DLL_R_W) $(RM) $(TARG_EX_ovld2_ins_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_ins_DLL_R_W) $(RM) $(OBJ_EX_ovld2_ins_DLL_R_W) + @-if exist $(TARG_EX_ovld2_sas_DLL_R_W) $(RM) $(TARG_EX_ovld2_sas_DLL_R_W) + @-if exist $(OBJ_EX_ovld2_sas_DLL_R_W) $(RM) $(OBJ_EX_ovld2_sas_DLL_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_DLL_R_W) $(RM) $(TARG_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_DLL_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_DLL_R_W) + @-if exist $(TARG_EX_ovld3_tabs_DLL_R_W) $(RM) $(TARG_EX_ovld3_tabs_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_DLL_R_W) $(RM) $(OBJ_EX_ovld3_tabs_DLL_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_DLL_R_W) $(RM) $(TARG_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_DLL_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_DLL_R_W) + @-if exist $(TARG_EX_ovld3_rcins_DLL_R_W) $(RM) $(TARG_EX_ovld3_rcins_DLL_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_DLL_R_W) $(RM) $(OBJ_EX_ovld3_rcins_DLL_R_W) + @-if exist $(TARG_EX_pad_hash_DLL_R_W) $(RM) $(TARG_EX_pad_hash_DLL_R_W) + @-if exist $(OBJ_EX_pad_hash_DLL_R_W) $(RM) $(OBJ_EX_pad_hash_DLL_R_W) + @-if exist $(TARG_EX_sink_cstr_DLL_R_W) $(RM) $(TARG_EX_sink_cstr_DLL_R_W) + @-if exist $(OBJ_EX_sink_cstr_DLL_R_W) $(RM) $(OBJ_EX_sink_cstr_DLL_R_W) + @-if exist $(TARG_EX_sink_ODS_DLL_R_W) $(RM) $(TARG_EX_sink_ODS_DLL_R_W) + @-if exist $(OBJ_EX_sink_ODS_DLL_R_W) $(RM) $(OBJ_EX_sink_ODS_DLL_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R) $(RM) $(TARG_EX_boolean_MT_R) + @-if exist $(OBJ_EX_boolean_MT_R) $(RM) $(OBJ_EX_boolean_MT_R) + @-if exist $(TARG_EX_character_MT_R) $(RM) $(TARG_EX_character_MT_R) + @-if exist $(OBJ_EX_character_MT_R) $(RM) $(OBJ_EX_character_MT_R) + @-if exist $(TARG_EX_reals_MT_R) $(RM) $(TARG_EX_reals_MT_R) + @-if exist $(OBJ_EX_reals_MT_R) $(RM) $(OBJ_EX_reals_MT_R) + @-if exist $(TARG_EX_voidptrs_MT_R) $(RM) $(TARG_EX_voidptrs_MT_R) + @-if exist $(OBJ_EX_voidptrs_MT_R) $(RM) $(OBJ_EX_voidptrs_MT_R) + @-if exist $(TARG_EX_ff_101_MT_R) $(RM) $(TARG_EX_ff_101_MT_R) + @-if exist $(OBJ_EX_ff_101_MT_R) $(RM) $(OBJ_EX_ff_101_MT_R) + @-if exist $(TARG_EX_ff_101_nons_MT_R) $(RM) $(TARG_EX_ff_101_nons_MT_R) + @-if exist $(OBJ_EX_ff_101_nons_MT_R) $(RM) $(OBJ_EX_ff_101_nons_MT_R) + @-if exist $(TARG_EX_flush_MT_R) $(RM) $(TARG_EX_flush_MT_R) + @-if exist $(OBJ_EX_flush_MT_R) $(RM) $(OBJ_EX_flush_MT_R) + @-if exist $(TARG_EX_ins_hex_MT_R) $(RM) $(TARG_EX_ins_hex_MT_R) + @-if exist $(OBJ_EX_ins_hex_MT_R) $(RM) $(OBJ_EX_ins_hex_MT_R) + @-if exist $(TARG_EX_ins_integers_MT_R) $(RM) $(TARG_EX_ins_integers_MT_R) + @-if exist $(OBJ_EX_ins_integers_MT_R) $(RM) $(OBJ_EX_ins_integers_MT_R) + @-if exist $(TARG_EX_ins_WLIs_MT_R) $(RM) $(TARG_EX_ins_WLIs_MT_R) + @-if exist $(OBJ_EX_ins_WLIs_MT_R) $(RM) $(OBJ_EX_ins_WLIs_MT_R) + @-if exist $(TARG_EX_ins_reals_MT_R) $(RM) $(TARG_EX_ins_reals_MT_R) + @-if exist $(OBJ_EX_ins_reals_MT_R) $(RM) $(OBJ_EX_ins_reals_MT_R) + @-if exist $(TARG_EX_itr_fmt_MT_R) $(RM) $(TARG_EX_itr_fmt_MT_R) + @-if exist $(OBJ_EX_itr_fmt_MT_R) $(RM) $(OBJ_EX_itr_fmt_MT_R) + @-if exist $(TARG_EX_ovld2_filt_MT_R) $(RM) $(TARG_EX_ovld2_filt_MT_R) + @-if exist $(OBJ_EX_ovld2_filt_MT_R) $(RM) $(OBJ_EX_ovld2_filt_MT_R) + @-if exist $(TARG_EX_ovld2_ins_MT_R) $(RM) $(TARG_EX_ovld2_ins_MT_R) + @-if exist $(OBJ_EX_ovld2_ins_MT_R) $(RM) $(OBJ_EX_ovld2_ins_MT_R) + @-if exist $(TARG_EX_ovld2_sas_MT_R) $(RM) $(TARG_EX_ovld2_sas_MT_R) + @-if exist $(OBJ_EX_ovld2_sas_MT_R) $(RM) $(OBJ_EX_ovld2_sas_MT_R) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R) $(RM) $(TARG_EX_ovld3_fpcol_MT_R) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R) + @-if exist $(TARG_EX_ovld3_tabs_MT_R) $(RM) $(TARG_EX_ovld3_tabs_MT_R) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R) $(RM) $(OBJ_EX_ovld3_tabs_MT_R) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R) $(RM) $(TARG_EX_ovld3_svrlg_MT_R) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R) + @-if exist $(TARG_EX_ovld3_rcins_MT_R) $(RM) $(TARG_EX_ovld3_rcins_MT_R) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R) $(RM) $(OBJ_EX_ovld3_rcins_MT_R) + @-if exist $(TARG_EX_pad_hash_MT_R) $(RM) $(TARG_EX_pad_hash_MT_R) + @-if exist $(OBJ_EX_pad_hash_MT_R) $(RM) $(OBJ_EX_pad_hash_MT_R) + @-if exist $(TARG_EX_sink_cstr_MT_R) $(RM) $(TARG_EX_sink_cstr_MT_R) + @-if exist $(OBJ_EX_sink_cstr_MT_R) $(RM) $(OBJ_EX_sink_cstr_MT_R) + @-if exist $(TARG_EX_sink_ODS_MT_R) $(RM) $(TARG_EX_sink_ODS_MT_R) + @-if exist $(OBJ_EX_sink_ODS_MT_R) $(RM) $(OBJ_EX_sink_ODS_MT_R) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + @-if exist $(TARG_EX_boolean_MT_R_W) $(RM) $(TARG_EX_boolean_MT_R_W) + @-if exist $(OBJ_EX_boolean_MT_R_W) $(RM) $(OBJ_EX_boolean_MT_R_W) + @-if exist $(TARG_EX_character_MT_R_W) $(RM) $(TARG_EX_character_MT_R_W) + @-if exist $(OBJ_EX_character_MT_R_W) $(RM) $(OBJ_EX_character_MT_R_W) + @-if exist $(TARG_EX_reals_MT_R_W) $(RM) $(TARG_EX_reals_MT_R_W) + @-if exist $(OBJ_EX_reals_MT_R_W) $(RM) $(OBJ_EX_reals_MT_R_W) + @-if exist $(TARG_EX_voidptrs_MT_R_W) $(RM) $(TARG_EX_voidptrs_MT_R_W) + @-if exist $(OBJ_EX_voidptrs_MT_R_W) $(RM) $(OBJ_EX_voidptrs_MT_R_W) + @-if exist $(TARG_EX_ff_101_MT_R_W) $(RM) $(TARG_EX_ff_101_MT_R_W) + @-if exist $(OBJ_EX_ff_101_MT_R_W) $(RM) $(OBJ_EX_ff_101_MT_R_W) + @-if exist $(TARG_EX_ff_101_nons_MT_R_W) $(RM) $(TARG_EX_ff_101_nons_MT_R_W) + @-if exist $(OBJ_EX_ff_101_nons_MT_R_W) $(RM) $(OBJ_EX_ff_101_nons_MT_R_W) + @-if exist $(TARG_EX_flush_MT_R_W) $(RM) $(TARG_EX_flush_MT_R_W) + @-if exist $(OBJ_EX_flush_MT_R_W) $(RM) $(OBJ_EX_flush_MT_R_W) + @-if exist $(TARG_EX_ins_hex_MT_R_W) $(RM) $(TARG_EX_ins_hex_MT_R_W) + @-if exist $(OBJ_EX_ins_hex_MT_R_W) $(RM) $(OBJ_EX_ins_hex_MT_R_W) + @-if exist $(TARG_EX_ins_integers_MT_R_W) $(RM) $(TARG_EX_ins_integers_MT_R_W) + @-if exist $(OBJ_EX_ins_integers_MT_R_W) $(RM) $(OBJ_EX_ins_integers_MT_R_W) + @-if exist $(TARG_EX_ins_WLIs_MT_R_W) $(RM) $(TARG_EX_ins_WLIs_MT_R_W) + @-if exist $(OBJ_EX_ins_WLIs_MT_R_W) $(RM) $(OBJ_EX_ins_WLIs_MT_R_W) + @-if exist $(TARG_EX_ins_reals_MT_R_W) $(RM) $(TARG_EX_ins_reals_MT_R_W) + @-if exist $(OBJ_EX_ins_reals_MT_R_W) $(RM) $(OBJ_EX_ins_reals_MT_R_W) + @-if exist $(TARG_EX_itr_fmt_MT_R_W) $(RM) $(TARG_EX_itr_fmt_MT_R_W) + @-if exist $(OBJ_EX_itr_fmt_MT_R_W) $(RM) $(OBJ_EX_itr_fmt_MT_R_W) + @-if exist $(TARG_EX_ovld2_filt_MT_R_W) $(RM) $(TARG_EX_ovld2_filt_MT_R_W) + @-if exist $(OBJ_EX_ovld2_filt_MT_R_W) $(RM) $(OBJ_EX_ovld2_filt_MT_R_W) + @-if exist $(TARG_EX_ovld2_ins_MT_R_W) $(RM) $(TARG_EX_ovld2_ins_MT_R_W) + @-if exist $(OBJ_EX_ovld2_ins_MT_R_W) $(RM) $(OBJ_EX_ovld2_ins_MT_R_W) + @-if exist $(TARG_EX_ovld2_sas_MT_R_W) $(RM) $(TARG_EX_ovld2_sas_MT_R_W) + @-if exist $(OBJ_EX_ovld2_sas_MT_R_W) $(RM) $(OBJ_EX_ovld2_sas_MT_R_W) + @-if exist $(TARG_EX_ovld3_fpcol_MT_R_W) $(RM) $(TARG_EX_ovld3_fpcol_MT_R_W) + @-if exist $(OBJ_EX_ovld3_fpcol_MT_R_W) $(RM) $(OBJ_EX_ovld3_fpcol_MT_R_W) + @-if exist $(TARG_EX_ovld3_tabs_MT_R_W) $(RM) $(TARG_EX_ovld3_tabs_MT_R_W) + @-if exist $(OBJ_EX_ovld3_tabs_MT_R_W) $(RM) $(OBJ_EX_ovld3_tabs_MT_R_W) + @-if exist $(TARG_EX_ovld3_svrlg_MT_R_W) $(RM) $(TARG_EX_ovld3_svrlg_MT_R_W) + @-if exist $(OBJ_EX_ovld3_svrlg_MT_R_W) $(RM) $(OBJ_EX_ovld3_svrlg_MT_R_W) + @-if exist $(TARG_EX_ovld3_rcins_MT_R_W) $(RM) $(TARG_EX_ovld3_rcins_MT_R_W) + @-if exist $(OBJ_EX_ovld3_rcins_MT_R_W) $(RM) $(OBJ_EX_ovld3_rcins_MT_R_W) + @-if exist $(TARG_EX_pad_hash_MT_R_W) $(RM) $(TARG_EX_pad_hash_MT_R_W) + @-if exist $(OBJ_EX_pad_hash_MT_R_W) $(RM) $(OBJ_EX_pad_hash_MT_R_W) + @-if exist $(TARG_EX_sink_cstr_MT_R_W) $(RM) $(TARG_EX_sink_cstr_MT_R_W) + @-if exist $(OBJ_EX_sink_cstr_MT_R_W) $(RM) $(OBJ_EX_sink_cstr_MT_R_W) + @-if exist $(TARG_EX_sink_ODS_MT_R_W) $(RM) $(TARG_EX_sink_ODS_MT_R_W) + @-if exist $(OBJ_EX_sink_ODS_MT_R_W) $(RM) $(OBJ_EX_sink_ODS_MT_R_W) + @-if exist example.*.pdb $(RM) example.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).example.*.manifest + +clean.samples: + @echo cleaning Sample programs ... + +clean.test.component: + @echo cleaning Test::Component files ... + @-if exist $(TARG_TEST_COMP_file_MT_D) $(RM) $(TARG_TEST_COMP_file_MT_D) + @-if exist $(OBJ_TEST_COMP_file_MT_D) $(RM) $(OBJ_TEST_COMP_file_MT_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D) $(RM) $(TARG_TEST_COMP_ins_int_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D) $(RM) $(TARG_TEST_COMP_ins_real_MT_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D) $(RM) $(TARG_TEST_COMP_null_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D) $(RM) $(TARG_TEST_COMP_write_sink_MT_D) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D) + @-if exist $(TARG_TEST_COMP_x_1_MT_D) $(RM) $(TARG_TEST_COMP_x_1_MT_D) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D) $(RM) $(OBJ_TEST_COMP_x_1_MT_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_D_W) $(RM) $(TARG_TEST_COMP_file_MT_D_W) + @-if exist $(OBJ_TEST_COMP_file_MT_D_W) $(RM) $(OBJ_TEST_COMP_file_MT_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_D_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_D_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_D_W) $(RM) $(TARG_TEST_COMP_x_1_MT_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_D_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D) $(RM) $(TARG_TEST_COMP_file_DLL_D) + @-if exist $(OBJ_TEST_COMP_file_DLL_D) $(RM) $(OBJ_TEST_COMP_file_DLL_D) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D) $(RM) $(TARG_TEST_COMP_x_1_DLL_D) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_D_W) $(RM) $(TARG_TEST_COMP_file_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_D_W) $(RM) $(OBJ_TEST_COMP_file_DLL_D_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_D_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_D_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_D_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_D_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_D_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_D_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_D_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_D_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_D_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_D_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R) $(RM) $(TARG_TEST_COMP_file_DLL_R) + @-if exist $(OBJ_TEST_COMP_file_DLL_R) $(RM) $(OBJ_TEST_COMP_file_DLL_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R) $(RM) $(TARG_TEST_COMP_x_1_DLL_R) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_DLL_R_W) $(RM) $(TARG_TEST_COMP_file_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_file_DLL_R_W) $(RM) $(OBJ_TEST_COMP_file_DLL_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_DLL_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(TARG_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_DLL_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_DLL_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_DLL_R_W) $(RM) $(TARG_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_DLL_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_DLL_R_W) + @-if exist $(TARG_TEST_COMP_x_1_DLL_R_W) $(RM) $(TARG_TEST_COMP_x_1_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_DLL_R_W) $(RM) $(OBJ_TEST_COMP_x_1_DLL_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_DLL_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R) $(RM) $(TARG_TEST_COMP_file_MT_R) + @-if exist $(OBJ_TEST_COMP_file_MT_R) $(RM) $(OBJ_TEST_COMP_file_MT_R) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R) $(RM) $(TARG_TEST_COMP_ins_int_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R) $(RM) $(TARG_TEST_COMP_ins_real_MT_R) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R) $(RM) $(TARG_TEST_COMP_null_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R) $(RM) $(TARG_TEST_COMP_write_sink_MT_R) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R) + @-if exist $(TARG_TEST_COMP_x_1_MT_R) $(RM) $(TARG_TEST_COMP_x_1_MT_R) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R) $(RM) $(OBJ_TEST_COMP_x_1_MT_R) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + @-if exist $(TARG_TEST_COMP_file_MT_R_W) $(RM) $(TARG_TEST_COMP_file_MT_R_W) + @-if exist $(OBJ_TEST_COMP_file_MT_R_W) $(RM) $(OBJ_TEST_COMP_file_MT_R_W) + @-if exist $(TARG_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_fmt_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_fmt_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_hex_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_hex_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_hex_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_int_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_int_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_int_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_int_MT_R_W) + @-if exist $(TARG_TEST_COMP_ins_real_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_ins_real_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(TARG_TEST_COMP_ins_real_MT_R_W) + @-if exist $(OBJ_TEST_COMP_itr_fmt_MT_R_W) $(RM) $(OBJ_TEST_COMP_ins_real_MT_R_W) + @-if exist $(TARG_TEST_COMP_null_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_null_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_null_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_null_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_COMP_write_sink_MT_R_W) $(RM) $(TARG_TEST_COMP_write_sink_MT_R_W) + @-if exist $(OBJ_TEST_COMP_write_sink_MT_R_W) $(RM) $(OBJ_TEST_COMP_write_sink_MT_R_W) + @-if exist $(TARG_TEST_COMP_x_1_MT_R_W) $(RM) $(TARG_TEST_COMP_x_1_MT_R_W) + @-if exist $(OBJ_TEST_COMP_x_1_MT_R_W) $(RM) $(OBJ_TEST_COMP_x_1_MT_R_W) + @-if exist $(TARG_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_COMP_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_COMP_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_COMP_snk_ostm_MT_R_W) + @-if exist test.component.*.pdb $(RM) test.component.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.component.*.manifest + +clean.test.performance: + @echo cleaning Test::Performance files ... + @-if exist $(TARG_TEST_PERF_scen1_MT_D) $(RM) $(TARG_TEST_PERF_scen1_MT_D) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D) $(RM) $(OBJ_TEST_PERF_scen1_MT_D) + @-if exist $(TARG_TEST_PERF_scen2_MT_D) $(RM) $(TARG_TEST_PERF_scen2_MT_D) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D) $(RM) $(OBJ_TEST_PERF_scen2_MT_D) + @-if exist $(TARG_TEST_PERF_scen3_MT_D) $(RM) $(TARG_TEST_PERF_scen3_MT_D) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D) $(RM) $(OBJ_TEST_PERF_scen3_MT_D) + @-if exist $(TARG_TEST_PERF_scen4_MT_D) $(RM) $(TARG_TEST_PERF_scen4_MT_D) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D) $(RM) $(OBJ_TEST_PERF_scen4_MT_D) + @-if exist $(TARG_TEST_PERF_scen5_MT_D) $(RM) $(TARG_TEST_PERF_scen5_MT_D) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D) $(RM) $(OBJ_TEST_PERF_scen5_MT_D) + @-if exist $(TARG_TEST_PERF_scen6_MT_D) $(RM) $(TARG_TEST_PERF_scen6_MT_D) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D) $(RM) $(OBJ_TEST_PERF_scen6_MT_D) + @-if exist $(TARG_TEST_PERF_scen7_MT_D) $(RM) $(TARG_TEST_PERF_scen7_MT_D) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D) $(RM) $(OBJ_TEST_PERF_scen7_MT_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D) $(RM) $(TARG_TEST_PERF_scen8_MT_D) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D) $(RM) $(OBJ_TEST_PERF_scen8_MT_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_D_W) $(RM) $(TARG_TEST_PERF_scen1_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_D_W) $(RM) $(TARG_TEST_PERF_scen2_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_D_W) $(RM) $(TARG_TEST_PERF_scen3_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_D_W) $(RM) $(TARG_TEST_PERF_scen4_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_D_W) $(RM) $(TARG_TEST_PERF_scen5_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_D_W) $(RM) $(TARG_TEST_PERF_scen6_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_D_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_D_W) $(RM) $(TARG_TEST_PERF_scen7_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_D_W) $(RM) $(TARG_TEST_PERF_scen8_MT_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_D_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D) $(RM) $(TARG_TEST_PERF_scen1_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D) $(RM) $(TARG_TEST_PERF_scen2_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D) $(RM) $(TARG_TEST_PERF_scen3_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D) $(RM) $(TARG_TEST_PERF_scen4_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D) $(RM) $(TARG_TEST_PERF_scen5_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D) $(RM) $(TARG_TEST_PERF_scen6_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D) $(RM) $(TARG_TEST_PERF_scen7_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D) $(RM) $(TARG_TEST_PERF_scen8_DLL_D) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_D_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_D_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_D_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_D_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_D_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_D_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R) $(RM) $(TARG_TEST_PERF_scen1_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R) $(RM) $(TARG_TEST_PERF_scen2_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R) $(RM) $(TARG_TEST_PERF_scen3_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R) $(RM) $(TARG_TEST_PERF_scen4_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R) $(RM) $(TARG_TEST_PERF_scen5_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R) $(RM) $(TARG_TEST_PERF_scen6_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R) $(RM) $(TARG_TEST_PERF_scen7_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R) $(RM) $(TARG_TEST_PERF_scen8_DLL_R) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen1_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen1_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen2_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen2_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen2_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen3_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen3_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen3_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen4_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen4_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen4_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen5_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen5_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen5_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen6_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen6_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen6_DLL_R_W) + @-if exist $(TARG_TEST_PERF_scen7_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen7_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen7_DLL_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_DLL_R_W) $(RM) $(TARG_TEST_PERF_scen8_DLL_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_DLL_R_W) $(RM) $(OBJ_TEST_PERF_scen8_DLL_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R) $(RM) $(TARG_TEST_PERF_scen1_MT_R) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R) $(RM) $(OBJ_TEST_PERF_scen1_MT_R) + @-if exist $(TARG_TEST_PERF_scen2_MT_R) $(RM) $(TARG_TEST_PERF_scen2_MT_R) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R) $(RM) $(OBJ_TEST_PERF_scen2_MT_R) + @-if exist $(TARG_TEST_PERF_scen3_MT_R) $(RM) $(TARG_TEST_PERF_scen3_MT_R) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R) $(RM) $(OBJ_TEST_PERF_scen3_MT_R) + @-if exist $(TARG_TEST_PERF_scen4_MT_R) $(RM) $(TARG_TEST_PERF_scen4_MT_R) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R) $(RM) $(OBJ_TEST_PERF_scen4_MT_R) + @-if exist $(TARG_TEST_PERF_scen5_MT_R) $(RM) $(TARG_TEST_PERF_scen5_MT_R) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R) $(RM) $(OBJ_TEST_PERF_scen5_MT_R) + @-if exist $(TARG_TEST_PERF_scen6_MT_R) $(RM) $(TARG_TEST_PERF_scen6_MT_R) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R) $(RM) $(OBJ_TEST_PERF_scen6_MT_R) + @-if exist $(TARG_TEST_PERF_scen7_MT_R) $(RM) $(TARG_TEST_PERF_scen7_MT_R) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R) $(RM) $(OBJ_TEST_PERF_scen7_MT_R) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R) $(RM) $(TARG_TEST_PERF_scen8_MT_R) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R) $(RM) $(OBJ_TEST_PERF_scen8_MT_R) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + @-if exist $(TARG_TEST_PERF_scen1_MT_R_W) $(RM) $(TARG_TEST_PERF_scen1_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen1_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen1_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen2_MT_R_W) $(RM) $(TARG_TEST_PERF_scen2_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen2_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen2_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen3_MT_R_W) $(RM) $(TARG_TEST_PERF_scen3_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen3_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen3_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen4_MT_R_W) $(RM) $(TARG_TEST_PERF_scen4_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen4_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen4_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen5_MT_R_W) $(RM) $(TARG_TEST_PERF_scen5_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen5_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen5_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen6_MT_R_W) $(RM) $(TARG_TEST_PERF_scen6_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen6_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen6_MT_R_W) + @-if exist $(TARG_TEST_PERF_scen7_MT_R_W) $(RM) $(TARG_TEST_PERF_scen7_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen7_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen7_MT_R_W) +!ifdef BOOST + @-if exist $(TARG_TEST_PERF_scen8_MT_R_W) $(RM) $(TARG_TEST_PERF_scen8_MT_R_W) + @-if exist $(OBJ_TEST_PERF_scen8_MT_R_W) $(RM) $(OBJ_TEST_PERF_scen8_MT_R_W) +!endif # BOOST + @-if exist test.performance.*.pdb $(RM) test.performance.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.performance.*.manifest + +clean.test.scratch: + @echo cleaning Test::Scratch files ... + @-if exist $(TARG_TEST_SCRT_file_MT_D) $(RM) $(TARG_TEST_SCRT_file_MT_D) + @-if exist $(OBJ_TEST_SCRT_file_MT_D) $(RM) $(OBJ_TEST_SCRT_file_MT_D) + @-if exist $(PDB_TEST_SCRT_file_MT_D) $(RM) $(PDB_TEST_SCRT_file_MT_D) + @-if exist $(TARG_TEST_SCRT_speech_MT_D) $(RM) $(TARG_TEST_SCRT_speech_MT_D) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D) $(RM) $(OBJ_TEST_SCRT_speech_MT_D) + @-if exist $(PDB_TEST_SCRT_speech_MT_D) $(RM) $(PDB_TEST_SCRT_speech_MT_D) + @-if exist $(TARG_TEST_SCRT_file_MT_D_W) $(RM) $(TARG_TEST_SCRT_file_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_D_W) $(RM) $(OBJ_TEST_SCRT_file_MT_D_W) + @-if exist $(PDB_TEST_SCRT_file_MT_D_W) $(RM) $(PDB_TEST_SCRT_file_MT_D_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_D_W) $(RM) $(TARG_TEST_SCRT_speech_MT_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_D_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_D_W) + @-if exist $(PDB_TEST_SCRT_speech_MT_D_W) $(RM) $(PDB_TEST_SCRT_speech_MT_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_D) $(RM) $(TARG_TEST_SCRT_file_DLL_D) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D) $(RM) $(OBJ_TEST_SCRT_file_DLL_D) + @-if exist $(PDB_TEST_SCRT_file_DLL_D) $(RM) $(PDB_TEST_SCRT_file_DLL_D) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D) $(RM) $(TARG_TEST_SCRT_speech_DLL_D) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D) $(RM) $(PDB_TEST_SCRT_speech_DLL_D) + @-if exist $(TARG_TEST_SCRT_file_DLL_D_W) $(RM) $(TARG_TEST_SCRT_file_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_file_DLL_D_W) $(RM) $(PDB_TEST_SCRT_file_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_D_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_D_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_D_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_D_W) + @-if exist $(PDB_TEST_SCRT_speech_DLL_D_W) $(RM) $(PDB_TEST_SCRT_speech_DLL_D_W) + @-if exist $(TARG_TEST_SCRT_file_DLL_R) $(RM) $(TARG_TEST_SCRT_file_DLL_R) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R) $(RM) $(OBJ_TEST_SCRT_file_DLL_R) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R) $(RM) $(TARG_TEST_SCRT_speech_DLL_R) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R) + @-if exist $(TARG_TEST_SCRT_file_DLL_R_W) $(RM) $(TARG_TEST_SCRT_file_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_file_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_file_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_speech_DLL_R_W) $(RM) $(TARG_TEST_SCRT_speech_DLL_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_DLL_R_W) $(RM) $(OBJ_TEST_SCRT_speech_DLL_R_W) + @-if exist $(TARG_TEST_SCRT_file_MT_R) $(RM) $(TARG_TEST_SCRT_file_MT_R) + @-if exist $(OBJ_TEST_SCRT_file_MT_R) $(RM) $(OBJ_TEST_SCRT_file_MT_R) + @-if exist $(TARG_TEST_SCRT_speech_MT_R) $(RM) $(TARG_TEST_SCRT_speech_MT_R) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R) $(RM) $(OBJ_TEST_SCRT_speech_MT_R) + @-if exist $(TARG_TEST_SCRT_file_MT_R_W) $(RM) $(TARG_TEST_SCRT_file_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_file_MT_R_W) $(RM) $(OBJ_TEST_SCRT_file_MT_R_W) + @-if exist $(TARG_TEST_SCRT_speech_MT_R_W) $(RM) $(TARG_TEST_SCRT_speech_MT_R_W) + @-if exist $(OBJ_TEST_SCRT_speech_MT_R_W) $(RM) $(OBJ_TEST_SCRT_speech_MT_R_W) + +clean.test.unit: + @echo cleaning Test::Unit files ... + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D) $(RM) $(TARG_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R) $(RM) $(TARG_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + @-if exist $(TARG_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_acsllen_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_crlf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_crlf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_flrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_init_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_init_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_init_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W) + @-if exist $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(TARG_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(TARG_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) $(RM) $(OBJ_TEST_UNIT_bndl_winini_MT_R_W) + @-if exist $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(TARG_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) $(RM) $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ods_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ods_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_ch_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_ch_MT_R_W) + @-if exist $(TARG_TEST_UNIT_ins_i_MT_R_W) $(RM) $(TARG_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_ins_i_MT_R_W) $(RM) $(OBJ_TEST_UNIT_ins_i_MT_R_W) + @-if exist $(TARG_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(TARG_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_shim_fi_MT_R_W) $(RM) $(OBJ_TEST_UNIT_shim_fi_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_a_b_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_c_str_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_null_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_null_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_null_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_ostm_MT_R_W) + @-if exist $(TARG_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(TARG_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) $(RM) $(OBJ_TEST_UNIT_snk_sstm_MT_R_W) + @-if exist test.unit.*.pdb $(RM) test.unit.*.pdb + @-if exist $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest $(RM) $(PROJ_BIN_DIR)\$(PROJ_TAG_VER).test.unit.*.manifest + +clean.test: clean.test.unit clean.test.component clean.test.scratch clean.test.performance + +clean: clean.libs clean.bitbucket clean.examples clean.samples clean.test + +clean.all: clean + + +############################################################################ +# build targets + +################################################## +# Libraries + +######################################## +# Main library - 'fastformat.X.core.a' + +$(LIB_CORE_MT_D): $(OBJ_CORE_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D) + +$(OBJ_cr_api_MT_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_D_W): $(OBJ_CORE_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_D_W) + +$(OBJ_cr_api_MT_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D): $(OBJ_CORE_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D) + +$(OBJ_cr_api_DLL_D): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_D_W): $(OBJ_CORE_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_D_W) + +$(OBJ_cr_api_DLL_D_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_D_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_D_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_D_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_D_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_D_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_D_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_D_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R): $(OBJ_CORE_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R) + +$(OBJ_cr_api_DLL_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_DLL_R_W): $(OBJ_CORE_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_DLL_R_W) + +$(OBJ_cr_api_DLL_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_DLL_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_DLL_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_DLL_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_DLL_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_DLL_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_DLL_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_DLL_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R): $(OBJ_CORE_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R) + +$(OBJ_cr_api_MT_R): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +$(LIB_CORE_MT_R_W): $(OBJ_CORE_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_CORE_MT_R_W) + +$(OBJ_cr_api_MT_R_W): $(PROJ_SRC_DIR)\api.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\api.cpp +$(OBJ_cr_fsdhndlrs_MT_R_W): $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_spec_defect_handlers.cpp +$(OBJ_cr_fmtcache_MT_R_W): $(PROJ_SRC_DIR)\fmt_cache.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\fmt_cache.cpp +$(OBJ_cr_initstr_MT_R_W): $(PROJ_SRC_DIR)\init_code_strings.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\init_code_strings.cpp +$(OBJ_cr_mempool_MT_R_W): $(PROJ_SRC_DIR)\memory_pool.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\memory_pool.cpp +$(OBJ_cr_replacements_MT_R_W): $(PROJ_SRC_DIR)\replacements.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\replacements.cpp +$(OBJ_cr_snprintf_MT_R_W): $(PROJ_SRC_DIR)\snprintf.cpp $(CORE_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_CORE_ARGS_MT_R_W) -Fo$@ $(PROJ_SRC_DIR)\snprintf.cpp + +######################################## +# Loki.SafeFormat library - 'loki.safefmt.X.core.a' + +!ifdef LOKI + +$(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp: $(PROJ_MAKEFILE_NAME) + @-if not exist $(LOKI_SFMT_SRC_DIR)\NUL mkdir $(LOKI_SFMT_SRC_DIR) + echo #include "../src/SafeFormat.cpp" > $@ + +$(LIB_LOKI_SFMT_MT_D): $(OBJ_LOKI_SFMT_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D) + +$(OBJ_lok_sfmt_MT_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_LOKI_SFMT_INCLUDES_MT_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_D_W): $(OBJ_LOKI_SFMT_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_D_W) + +$(OBJ_lok_sfmt_MT_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D): $(OBJ_LOKI_SFMT_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D) + +$(OBJ_lok_sfmt_DLL_D): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_D_W): $(OBJ_LOKI_SFMT_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_D_W) + +$(OBJ_lok_sfmt_DLL_D_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_D_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R): $(OBJ_LOKI_SFMT_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R) + +$(OBJ_lok_sfmt_DLL_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_DLL_R_W): $(OBJ_LOKI_SFMT_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_DLL_R_W) + +$(OBJ_lok_sfmt_DLL_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_LOKI_SFMT_INCLUDES_DLL_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R): $(OBJ_LOKI_SFMT_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R) + +$(OBJ_lok_sfmt_MT_R): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_LOKI_SFMT_INCLUDES_MT_R) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +$(LIB_LOKI_SFMT_MT_R_W): $(OBJ_LOKI_SFMT_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_LOKI_SFMT_MT_R_W) + +$(OBJ_lok_sfmt_MT_R_W): $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp $(LOKI_SFMT_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_LOKI_SFMT_INCLUDES_MT_R_W) -Fo$@ $(LOKI_SFMT_SRC_DIR)\loki.safefmt.cpp + +!endif # LOKI +######################################## +# shwild library - 'shwild.X.core.a' + +$(LIB_SHWILD_MT_D): $(OBJ_SHWILD_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D) + +$(OBJ_shw_api_MT_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_SHWILD_INCLUDES_MT_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_D_W): $(OBJ_SHWILD_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_D_W) + +$(OBJ_shw_api_MT_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_SHWILD_INCLUDES_MT_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D): $(OBJ_SHWILD_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D) + +$(OBJ_shw_api_DLL_D): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_SHWILD_INCLUDES_DLL_D) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_D_W): $(OBJ_SHWILD_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_D_W) + +$(OBJ_shw_api_DLL_D_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_D_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_D_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_SHWILD_INCLUDES_DLL_D_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R): $(OBJ_SHWILD_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R) + +$(OBJ_shw_api_DLL_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_SHWILD_INCLUDES_DLL_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_DLL_R_W): $(OBJ_SHWILD_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_DLL_R_W) + +$(OBJ_shw_api_DLL_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_DLL_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_DLL_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_SHWILD_INCLUDES_DLL_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R): $(OBJ_SHWILD_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R) + +$(OBJ_shw_api_MT_R): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_SHWILD_INCLUDES_MT_R) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +$(LIB_SHWILD_MT_R_W): $(OBJ_SHWILD_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_SHWILD_MT_R_W) + +$(OBJ_shw_api_MT_R_W): $(SHWILD_SRC_DIR)\api.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\api.cpp +$(OBJ_shw_matches_MT_R_W): $(SHWILD_SRC_DIR)\matches.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\matches.cpp +$(OBJ_shw_pattern_MT_R_W): $(SHWILD_SRC_DIR)\pattern.cpp $(SHWILD_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_SHWILD_INCLUDES_MT_R_W) -Fo$@ $(SHWILD_SRC_DIR)\pattern.cpp + +######################################## +# xTests library - 'xtests.X.ff.core.a' + +$(LIB_XTESTS_MT_D): $(OBJ_XTESTS_MT_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D) + +$(OBJ_xts_core_MT_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) $(CPPC_XTESTS_INCLUDES_MT_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_D_W): $(OBJ_XTESTS_MT_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_D_W) + +$(OBJ_xts_core_MT_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) $(CPPC_XTESTS_INCLUDES_MT_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D): $(OBJ_XTESTS_DLL_D) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D) + +$(OBJ_xts_core_DLL_D): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) $(CPPC_XTESTS_INCLUDES_DLL_D) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_D_W): $(OBJ_XTESTS_DLL_D_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_D_W) + +$(OBJ_xts_core_DLL_D_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) $(CPPC_XTESTS_INCLUDES_DLL_D_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R): $(OBJ_XTESTS_DLL_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R) + +$(OBJ_xts_core_DLL_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) $(CPPC_XTESTS_INCLUDES_DLL_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_DLL_R_W): $(OBJ_XTESTS_DLL_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_DLL_R_W) + +$(OBJ_xts_core_DLL_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) $(CPPC_XTESTS_INCLUDES_DLL_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R): $(OBJ_XTESTS_MT_R) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R) + +$(OBJ_xts_core_MT_R): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) $(CPPC_XTESTS_INCLUDES_MT_R) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +$(LIB_XTESTS_MT_R_W): $(OBJ_XTESTS_MT_R_W) $(PROJ_MAKEFILE_NAME) + @-if not exist $(PROJ_LIB_DIR)\NUL mkdir $(PROJ_LIB_DIR) + $(AR) $(AR_ARGS) -out:$@ $(OBJ_XTESTS_MT_R_W) + +$(OBJ_xts_core_MT_R_W): $(XTESTS_SRC_DIR)\xtests.core.cpp $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) $(CPPC_XTESTS_INCLUDES_MT_R_W) -Fo$@ $(XTESTS_SRC_DIR)\xtests.core.cpp + +################################################## +# Examples: BitBucket + +$(BITBUCKET_SRC_DIR)\bitbucket.cpp: #$(PROJ_MAKEFILE_NAME) + @-if not exist $(BITBUCKET_SRC_DIR)\NUL mkdir $(BITBUCKET_SRC_DIR) + echo #include "stdio.h" > $@ + echo int main(int, char**) { int ch; for(; EOF != (ch = getchar());) { ; } return 0; } >> $@ + +$(TARG_BITBUCKET_MT_D): $(OBJ_BITBUCKET_MT_D) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_BITBUCKET_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_BITBUCKET_MT_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_D_W): $(OBJ_BITBUCKET_MT_D_W) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_BITBUCKET_MT_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D): $(OBJ_BITBUCKET_DLL_D) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_BITBUCKET_DLL_D): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_D_W): $(OBJ_BITBUCKET_DLL_D_W) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_BITBUCKET_DLL_D_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R): $(OBJ_BITBUCKET_DLL_R) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_BITBUCKET_DLL_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_DLL_R_W): $(OBJ_BITBUCKET_DLL_R_W) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_BITBUCKET_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_BITBUCKET_DLL_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R): $(OBJ_BITBUCKET_MT_R) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_BITBUCKET_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_BITBUCKET_MT_R): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + +$(TARG_BITBUCKET_MT_R_W): $(OBJ_BITBUCKET_MT_R_W) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_BITBUCKET_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_BITBUCKET_MT_R_W): $(BITBUCKET_SRC_DIR)\bitbucket.cpp $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(BITBUCKET_SRC_DIR)\bitbucket.cpp + + +################################################## +# Examples + +$(TARG_EX_boolean_MT_D): \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_boolean_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_boolean_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_D_W): \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_boolean_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_boolean_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D): \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_boolean_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_boolean_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_D_W): \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_boolean_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R): \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_boolean_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_boolean_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_DLL_R_W): \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_boolean_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_boolean_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R): \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_boolean_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_boolean_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_boolean_MT_R_W): \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_boolean_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_boolean_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.boolean\example.arguments.boolean.cpp + +$(TARG_EX_character_MT_D): \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_character_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_character_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_D_W): \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_character_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_character_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D): \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_character_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_character_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_D_W): \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_character_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_character_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R): \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_character_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_character_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_DLL_R_W): \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_character_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_character_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R): \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_character_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_character_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_character_MT_R_W): \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_character_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_character_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.character\example.arguments.character.cpp + +$(TARG_EX_reals_MT_D): \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_D_W): \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_reals_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_reals_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D): \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_D_W): \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_reals_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_reals_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R): \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_DLL_R_W): \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_reals_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_reals_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R): \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_reals_MT_R_W): \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_reals_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_reals_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.reals\example.arguments.reals.cpp + +$(TARG_EX_voidptrs_MT_D): \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_voidptrs_MT_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_D_W): \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_voidptrs_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D): \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_voidptrs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_D_W): \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_voidptrs_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R): \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_voidptrs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_DLL_R_W): \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_voidptrs_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R): \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_voidptrs_MT_R): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_voidptrs_MT_R_W): \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_voidptrs_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_voidptrs_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.arguments.void_pointers\example.arguments.void_pointers.cpp + +$(TARG_EX_ff_101_MT_D): \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_D): \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_DLL_R): \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_MT_R): \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101\example.ff_101.cpp + +$(TARG_EX_ff_101_nons_MT_D): \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ff_101_nons_MT_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_D): \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ff_101_nons_DLL_D): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_DLL_R): \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ff_101_nons_DLL_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_ff_101_nons_MT_R): \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ff_101_nons_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ff_101_nons_MT_R): $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.ff_101.no_namespace\example.ff_101.no_namespace.cpp + +$(TARG_EX_flush_MT_D): \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_flush_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_flush_MT_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_D): \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_flush_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_flush_DLL_D): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_DLL_R): \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_flush_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_flush_DLL_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_flush_MT_R): \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_flush_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_flush_MT_R): $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.flush\example.flush.cpp + +$(TARG_EX_ins_hex_MT_D): \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_hex_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_D): \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_hex_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_DLL_R): \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_hex_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_hex_MT_R): \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_hex_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.hex\example.inserter.hex.cpp + +$(TARG_EX_ins_integers_MT_D): \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_integers_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_integers_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_D): \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_integers_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_DLL_R): \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_integers_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_integers_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_integers_MT_R): \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_integers_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_integers_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers\example.inserter.integers.cpp + +$(TARG_EX_ins_reals_MT_D): \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_reals_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_reals_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_D): \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_reals_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_DLL_R): \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_reals_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_reals_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + +$(TARG_EX_ins_reals_MT_R): \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_reals_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_reals_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.reals\example.inserter.reals.cpp + + +$(TARG_EX_ins_WLIs_MT_D): \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ins_WLIs_MT_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_D): \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ins_WLIs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_DLL_R): \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ins_WLIs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + +$(TARG_EX_ins_WLIs_MT_R): \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ins_WLIs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ins_WLIs_MT_R): $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.inserter.integers.WindowsLargeIntegers\example.inserter.integers.WindowsLargeIntegers.cpp + + +$(TARG_EX_itr_fmt_MT_D): \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_itr_fmt_MT_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_D_W): \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARG_BITBUCKET_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_itr_fmt_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D): \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_itr_fmt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_D_W): \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARG_BITBUCKET_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_itr_fmt_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R): \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_itr_fmt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_DLL_R_W): \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARG_BITBUCKET_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_itr_fmt_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R): \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_itr_fmt_MT_R): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_itr_fmt_MT_R_W): \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARG_BITBUCKET_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_itr_fmt_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.iterators.format_iterator\example.iterators.format_iterator.cpp + +$(TARG_EX_ovld2_filt_MT_D): \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_filt_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_D): \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_filt_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_DLL_R): \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_filt_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + +$(TARG_EX_ovld2_filt_MT_R): \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_filt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_filt_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.filter_type\example.overload.2.custom_type.filter_type.cpp + + +$(TARG_EX_ovld2_ins_MT_D): \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_ins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_D): \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_ins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_DLL_R): \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_ins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_ins_MT_R): \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(PROJ_BUNDLES_DIR)\superhero.properties\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_ins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_ins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.inserter\example.overload.2.custom_type.inserter.cpp + +$(TARG_EX_ovld2_sas_MT_D): \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld2_sas_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_D): \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld2_sas_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_DLL_R): \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld2_sas_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld2_sas_MT_R): \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld2_sas_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld2_sas_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.2.custom_type.string_access_shims\example.overload.2.custom_type.string_access_shims.cpp + +$(TARG_EX_ovld3_fpcol_MT_D): \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_fpcol_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_D): \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_fpcol_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_DLL_R): \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_fpcol_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_fpcol_MT_R): \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_fpcol_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_fpcol_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.floatingpoint_columns\example.overload.3.floatingpoint_columns.cpp + +$(TARG_EX_ovld3_tabs_MT_D): \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_tabs_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_D): \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_tabs_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_DLL_R): \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_tabs_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_tabs_MT_R): \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_tabs_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_tabs_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.tabulations\example.overload.3.tabulations.cpp + +$(TARG_EX_ovld3_svrlg_MT_D): \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_svrlg_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_D): \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_svrlg_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_DLL_R): \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_svrlg_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_svrlg_MT_R): \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_svrlg_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_svrlg_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.server_log\example.overload.3.server_log.cpp + +$(TARG_EX_ovld3_rcins_MT_D): \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_ovld3_rcins_MT_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_D): \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_ovld3_rcins_DLL_D): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_DLL_R): \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_ovld3_rcins_DLL_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_ovld3_rcins_MT_R): \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_ovld3_rcins_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_ovld3_rcins_MT_R): $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.overload.3.record_insert\example.overload.3.record_insert.cpp + +$(TARG_EX_pad_hash_MT_D): \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_pad_hash_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_pad_hash_MT_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_D): \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_pad_hash_DLL_D): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_DLL_R): \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_pad_hash_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_pad_hash_DLL_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_pad_hash_MT_R): \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_pad_hash_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_pad_hash_MT_R): $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.pad.hash\example.pad.hash.cpp + +$(TARG_EX_sink_cstr_MT_D): \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARG_BITBUCKET_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_cstr_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_D): \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARG_BITBUCKET_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_cstr_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_DLL_R): \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARG_BITBUCKET_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_cstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_cstr_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_cstr_MT_R): \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARG_BITBUCKET_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_cstr_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_cstr_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.c_string\example.sink.c_string.cpp + +$(TARG_EX_sink_ODS_MT_D): \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D)\ + $(LIB_CORE_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_EX_sink_ODS_MT_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_D_W): \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_EX_sink_ODS_MT_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D): \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_EX_sink_ODS_DLL_D): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_D_W): \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_EX_sink_ODS_DLL_D_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R): \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_EX_sink_ODS_DLL_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_DLL_R_W): \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_EX_sink_ODS_DLL_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R): \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R)\ + $(LIB_CORE_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_EX_sink_ODS_MT_R): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +$(TARG_EX_sink_ODS_MT_R_W): \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_EX_sink_ODS_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_EX_sink_ODS_MT_R_W): $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_EXAMPLES_DIR)\example.sink.OutputDebugString\example.sink.OutputDebugString.cpp + +################################################## +# Tests + +############################## +# Component Tests + +$(TARG_TEST_COMP_snk_a_b_MT_D): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_a_b_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_a_b_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_a_b_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_snk_a_b_MT_R_W): \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_a_b_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.auto_buffer\test.component.sink.auto_buffer.cpp + +$(TARG_TEST_COMP_file_MT_D): \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_file_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_D_W): \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_file_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D): \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_file_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_D_W): \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_file_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R): \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_file_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_DLL_R_W): \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_file_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R): \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_file_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_file_MT_R_W): \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_file_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.FILE\test.component.FILE.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_fmt_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_fmt_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_fmt_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_fmt_sink_MT_R_W): \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_fmt_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_fmt_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.fmt\test.component.sink.string.fmt.cpp + +$(TARG_TEST_COMP_write_sink_MT_D): \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_write_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_D_W): \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_write_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D): \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_write_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_write_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R): \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_write_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_write_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R): \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_write_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_write_sink_MT_R_W): \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_write_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_write_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.string.write\test.component.sink.string.write.cpp + +$(TARG_TEST_COMP_x_1_MT_D): \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_x_1_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_D_W): \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_x_1_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D): \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_x_1_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_D_W): \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_x_1_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R): \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_x_1_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_DLL_R_W): \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_x_1_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R): \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_x_1_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_x_1_MT_R_W): \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_x_1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_x_1_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.format_specification_defect_exceptions\test.component.format_specification_defect_exceptions.cpp + +$(TARG_TEST_COMP_null_sink_MT_D): \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_null_sink_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_D_W): \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_null_sink_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D): \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_null_sink_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_D_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_null_sink_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R): \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_null_sink_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_DLL_R_W): \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_null_sink_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R): \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_null_sink_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_null_sink_MT_R_W): \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_null_sink_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_null_sink_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.null\test.component.sink.null.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D): \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_hex_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_D_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_hex_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_hex_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_hex_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_hex_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R): \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_hex_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_hex_MT_R_W): \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_hex_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_hex_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.hex\test.component.inserter.hex.cpp + +$(TARG_TEST_COMP_ins_int_MT_D): \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_int_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_D_W): \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_int_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D): \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_int_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_int_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R): \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_int_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_int_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R): \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_int_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_int_MT_R_W): \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_int_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_int_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.integer\test.component.inserter.integer.cpp + +$(TARG_TEST_COMP_ins_real_MT_D): \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_ins_real_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_D_W): \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_ins_real_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D): \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_ins_real_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_D_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_ins_real_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R): \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_ins_real_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_DLL_R_W): \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_ins_real_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R): \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_ins_real_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_ins_real_MT_R_W): \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_ins_real_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_ins_real_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.inserter.real\test.component.inserter.real.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_itr_fmt_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_D_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_DLL_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_itr_fmt_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_itr_fmt_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_itr_fmt_MT_R_W): \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_itr_fmt_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_itr_fmt_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.iterators.format_iterator\test.component.iterators.format_iterator.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_COMP_snk_ostm_MT_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_D_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_COMP_snk_ostm_DLL_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_COMP_snk_ostm_MT_R): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +$(TARG_TEST_COMP_snk_ostm_MT_R_W): \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_COMP_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_COMP_snk_ostm_MT_R_W): $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_COMPONENT_DIR)\test.component.sink.ostream\test.component.sink.ostream.cpp + +############################## +# Performance Tests + +$(TARG_TEST_PERF_scen1_MT_D): \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen1_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_D_W): \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen1_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D): \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen1_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_D_W): \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen1_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R): \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen1_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_DLL_R_W): \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen1_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R): \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen1_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen1_MT_R_W): \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen1_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen1_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.overload.ex.1\test.performance.overload.ex.1.cpp + +$(TARG_TEST_PERF_scen2_MT_D): \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen2_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_D_W): \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen2_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D): \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen2_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_D_W): \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen2_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R): \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen2_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_DLL_R_W): \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen2_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R): \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen2_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen2_MT_R_W): \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen2_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen2_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.name_age_height\test.performance.name_age_height.cpp + +$(TARG_TEST_PERF_scen3_MT_D): \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen3_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_D_W): \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen3_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D): \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen3_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_D_W): \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen3_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R): \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen3_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_DLL_R_W): \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen3_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R): \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen3_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen3_MT_R_W): \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen3_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen3_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_integer\test.performance.one_integer.cpp + +$(TARG_TEST_PERF_scen4_MT_D): \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen4_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_D_W): \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen4_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D): \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen4_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_D_W): \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen4_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R): \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen4_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_DLL_R_W): \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen4_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R): \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen4_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen4_MT_R_W): \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen4_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen4_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.one_pointer\test.performance.one_pointer.cpp + +$(TARG_TEST_PERF_scen5_MT_D): \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen5_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_D_W): \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen5_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D): \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen5_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_D_W): \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen5_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R): \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen5_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_DLL_R_W): \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen5_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R): \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen5_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen5_MT_R_W): \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen5_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen5_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.scenario1\test.performance.scenario1.cpp + +$(TARG_TEST_PERF_scen6_MT_D): \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen6_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_D_W): \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen6_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D): \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen6_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_D_W): \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen6_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R): \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen6_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_DLL_R_W): \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen6_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R): \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen6_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen6_MT_R_W): \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen6_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen6_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.ten_strings_twice\test.performance.ten_strings_twice.cpp + +$(TARG_TEST_PERF_scen7_MT_D): \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen7_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_D_W): \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen7_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D): \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen7_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_D_W): \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen7_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R): \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen7_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_DLL_R_W): \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen7_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R): \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen7_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +$(TARG_TEST_PERF_scen7_MT_R_W): \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen7_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen7_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.three_strings_one_integer\test.performance.three_strings_one_integer.cpp + +!ifdef BOOST +$(TARG_TEST_PERF_scen8_MT_D): \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_LOKI_SFMT_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_PERF_scen8_MT_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_D_W): \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_LOKI_SFMT_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_PERF_scen8_MT_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D): \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_LOKI_SFMT_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_PERF_scen8_DLL_D): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_D_W): \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_LOKI_SFMT_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_PERF_scen8_DLL_D_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R): \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_LOKI_SFMT_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_PERF_scen8_DLL_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_DLL_R_W): \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_LOKI_SFMT_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_PERF_scen8_DLL_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R): \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_LOKI_SFMT_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_PERF_scen8_MT_R): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +$(TARG_TEST_PERF_scen8_MT_R_W): \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_PERF_scen8_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_LOKI_SFMT_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_PERF_scen8_MT_R_W): $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_PERFORMANCE_DIR)\test.performance.boost.karma\test.performance.boost.karma.cpp + +!endif # BOOST +############################## +# Scratch Tests + +$(TARG_TEST_SCRT_file_MT_D): \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_file_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_D_W): \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_file_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D): \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_file_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_D_W): \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_file_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R): \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_file_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_DLL_R_W): \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_file_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R): \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_file_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_file_MT_R_W): \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_file_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_file_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.FILE\test.scratch.FILE.cpp + +$(TARG_TEST_SCRT_speech_MT_D): \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_SCRT_speech_MT_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_D_W): \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_SCRT_speech_MT_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D): \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_SCRT_speech_DLL_D): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_D_W): \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_SCRT_speech_DLL_D_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R): \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_SCRT_speech_DLL_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_DLL_R_W): \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_SCRT_speech_DLL_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R): \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_SCRT_speech_MT_R): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +$(TARG_TEST_SCRT_speech_MT_R_W): \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_SCRT_speech_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_SCRT_speech_MT_R_W): $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_SCRATCH_DIR)\test.scratch.speech\test.scratch.speech.cpp + +############################## +# Unit Tests + + +$(TARG_TEST_UNIT_api_acsllen_MT_D): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_acsllen_MT_R_W): \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_acsllen_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_acsllen_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.accum_slice_lens\test.unit.api.accum_slice_lens.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_crlf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_crlf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_crlf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_crlf_MT_R_W): \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_crlf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_crlf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.crlf_for_platform\test.unit.api.crlf_for_platform.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_flrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_flrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_flrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.fill_replacements\test.unit.api.fill_replacements.cpp + +$(TARG_TEST_UNIT_api_init_MT_D): \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_init_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_D_W): \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_init_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D): \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_init_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_init_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R): \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_init_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_init_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R): \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_init_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_init_MT_R_W): \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_init_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_init_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.initialisation\test.unit.api.initialisation.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_lkptrn_MT_R_W): \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_lkptrn_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_lkptrn_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.lookup_pattern\test.unit.api.lookup_pattern.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_prsrpl_MT_R_W): \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_prsrpl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_prsrpl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.parse_format\test.unit.api.parse_format.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_illfmdh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_illfmdh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_illfmdh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.illformed_handlers\test.unit.api.illformed_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_D_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_DLL_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_api_msmtchh_MT_R_W): \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_api_msmtchh_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_api_msmtchh_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.api.mismatched_handlers\test.unit.api.mismatched_handlers.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_D_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_DLL_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_bndl_winini_MT_R_W): \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_bndl_winini_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_bndl_winini_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.bundle.winini\test.unit.bundle.winini.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ods_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ods_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ods_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_snk_ods_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ods_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ods_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.OutputDebugString\test.unit.sink.OutputDebugString.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_D_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_DLL_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_fmt_stdfl_MT_R_W): \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_fmt_stdfl_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.format.standard_flags\test.unit.format.standard_flags.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_ch_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_ch_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_ch_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_ch_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_ch_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_ch_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.character\test.unit.inserters.character.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D): \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_ins_i_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_D_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_ins_i_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_ins_i_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_D_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_ins_i_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_DLL_R_W): \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_ins_i_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R): \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_ins_i_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_ins_i_MT_R_W): \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_ins_i_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_ins_i_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.inserters.integer\test.unit.inserters.integer.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_shim_fi_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_D_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_DLL_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_shim_fi_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_shim_fi_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_shim_fi_MT_R_W): \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_shim_fi_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_shim_fi_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.shims.fast_integers\test.unit.shims.fast_integers.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_a_b_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_a_b_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_a_b_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.auto_buffer\test.unit.sink.auto_buffer.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_c_str_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_c_str_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_c_str_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.c_string\test.unit.sink.c_string.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_chbuf_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_chbuf_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_chbuf_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.char_buffer\test.unit.sink.char_buffer.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D): \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_null_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_null_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_null_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_null_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_null_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R): \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_null_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_null_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_null_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_null_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.null\test.unit.sink.null.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_ostm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_ostm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_ostm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.ostream\test.unit.sink.ostream.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_mfccstr_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_mfccstr_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.CString\test.unit.sink.CString.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D)\ + $(LIB_CORE_MT_D)\ + $(LIB_SHWILD_MT_D)\ + $(LIB_XTESTS_MT_D)\ + $(TARGET_LIB_MT_D) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_D_W)\ + $(LIB_CORE_MT_D_W)\ + $(LIB_SHWILD_MT_D_W)\ + $(LIB_XTESTS_MT_D_W)\ + $(TARGET_LIB_MT_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D)\ + $(LIB_CORE_DLL_D)\ + $(LIB_SHWILD_DLL_D)\ + $(LIB_XTESTS_DLL_D)\ + $(TARGET_LIB_DLL_D) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_D_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_D_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_D_W)\ + $(LIB_CORE_DLL_D_W)\ + $(LIB_SHWILD_DLL_D_W)\ + $(LIB_XTESTS_DLL_D_W)\ + $(TARGET_LIB_DLL_D_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_D_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_D_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R)\ + $(LIB_CORE_DLL_R)\ + $(LIB_SHWILD_DLL_R)\ + $(LIB_XTESTS_DLL_R)\ + $(TARGET_LIB_DLL_R) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_DLL_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_DLL_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_DLL_R_W)\ + $(LIB_CORE_DLL_R_W)\ + $(LIB_SHWILD_DLL_R_W)\ + $(LIB_XTESTS_DLL_R_W)\ + $(TARGET_LIB_DLL_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_DLL_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_DLL_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R)\ + $(LIB_CORE_MT_R)\ + $(LIB_SHWILD_MT_R)\ + $(LIB_XTESTS_MT_R)\ + $(TARGET_LIB_MT_R) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +$(TARG_TEST_UNIT_snk_sstm_MT_R_W): \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(PROJ_MAKEFILE_NAME) + $(LD) $(LD_ARGS_MT_R_W) -out:$@ \ + $(OBJ_TEST_UNIT_snk_sstm_MT_R_W)\ + $(LIB_CORE_MT_R_W)\ + $(LIB_SHWILD_MT_R_W)\ + $(LIB_XTESTS_MT_R_W)\ + $(TARGET_LIB_MT_R_W) + +$(OBJ_TEST_UNIT_snk_sstm_MT_R_W): $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp $(CORE_HEADERS) $(SHWILD_HEADERS) $(XTESTS_HEADERS) $(PROJ_MAKEFILE_NAME) + $(CPPC) $(CPPC_ARGS_MT_R_W) -Fo$@ $(PROJ_TEST_UNIT_DIR)\test.unit.sink.stringstream\test.unit.sink.stringstream.cpp + +############################### end of file ################################ diff --git a/build/vc6.unixem/makefile b/build/vc6.unixem/makefile index 66da56e..1a6a902 100644 --- a/build/vc6.unixem/makefile +++ b/build/vc6.unixem/makefile @@ -1,11 +1,11 @@ ############################################################################ # File: makefile # -# Purpose: Makefile for the FastFormat project, for the Visual C++ 6.0 (UNIXEm) +# Purpose: Makefile for the FastFormat project, for the Visual C++ 6.0 (UNIXem) # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -32,22 +32,25 @@ COMP_TAG = vc6 ARCH_TAG = -OS_TAG = .unix +OS_TAG = .unix PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) -UNIXEM_VER_MAJOR = 1 +UNIXEM_VER_MAJOR = 1 UNIXEM_TAG_VER = unixem.$(XTESTS_VER_MAJOR) PROJ_MAKEFILE_NAME = makefile @@ -55,7 +58,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY ######################################## # Directories @@ -79,11 +84,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -248,6 +277,9 @@ STLSOFT_1_10_INCLUDE = CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)\include\ $(COMMON_INCLUDES)\ @@ -526,12 +558,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.h\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.hpp\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)\xtests\xtests.h\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ \ ############################################################################ diff --git a/build/vc6/makefile b/build/vc6/makefile index 86879b2..f763437 100644 --- a/build/vc6/makefile +++ b/build/vc6/makefile @@ -5,7 +5,7 @@ # compiler, on Win32 # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,21 @@ COMP_TAG = vc6 ARCH_TAG = -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +49,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +75,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -349,6 +378,9 @@ STLSOFT_1_10_INCLUDE = CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)\include\ $(COMMON_INCLUDES)\ @@ -905,12 +937,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.h\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.hpp\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)\xtests\xtests.h\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ \ ############################################################################ diff --git a/build/vc8.unixem/makefile b/build/vc8.unixem/makefile index f21af8a..0368f07 100644 --- a/build/vc8.unixem/makefile +++ b/build/vc8.unixem/makefile @@ -1,11 +1,11 @@ ############################################################################ # File: makefile # -# Purpose: Makefile for the FastFormat project, for the Visual C++ 8.0 (UNIXEm) +# Purpose: Makefile for the FastFormat project, for the Visual C++ 8.0 (UNIXem) # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -32,22 +32,25 @@ COMP_TAG = vc8 ARCH_TAG = -OS_TAG = .unix +OS_TAG = .unix PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) -UNIXEM_VER_MAJOR = 1 +UNIXEM_VER_MAJOR = 1 UNIXEM_TAG_VER = unixem.$(XTESTS_VER_MAJOR) PROJ_MAKEFILE_NAME = makefile @@ -55,7 +58,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY ######################################## # Directories @@ -79,11 +84,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -220,6 +249,9 @@ STLSOFT_1_10_INCLUDE = CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)\include\ $(COMMON_INCLUDES)\ @@ -428,12 +460,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.h\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.hpp\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)\xtests\xtests.h\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ \ ############################################################################ diff --git a/build/vc8.x64/makefile b/build/vc8.x64/makefile index ae89934..93aaa08 100644 --- a/build/vc8.x64/makefile +++ b/build/vc8.x64/makefile @@ -5,7 +5,7 @@ # compiler, on Win64 # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,24 @@ COMP_TAG = vc8 ARCH_TAG = .x64 -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +52,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +78,45 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -297,6 +339,10 @@ STLSOFT_1_10_INCLUDE = CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)\include\ $(COMMON_INCLUDES)\ @@ -717,12 +763,21 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.h\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.hpp\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)\xcover\xcover.h\ + $(PROJ_INCLUDE_DIR)\xcover\internal\reporter.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)\xtests\xtests.h\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ \ ############################################################################ diff --git a/build/vc9.unixem/makefile b/build/vc9.unixem/makefile index 05b91cb..421cc03 100644 --- a/build/vc9.unixem/makefile +++ b/build/vc9.unixem/makefile @@ -1,11 +1,11 @@ ############################################################################ # File: makefile # -# Purpose: Makefile for the FastFormat project, for the Visual C++ 9.0 (x86 UNIXEm) +# Purpose: Makefile for the FastFormat project, for the Visual C++ 9.0 (x86 UNIXem) # compiler, on UNIX # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -32,22 +32,25 @@ COMP_TAG = vc9 ARCH_TAG = -OS_TAG = .unix +OS_TAG = .unix PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) -UNIXEM_VER_MAJOR = 1 +UNIXEM_VER_MAJOR = 1 UNIXEM_TAG_VER = unixem.$(XTESTS_VER_MAJOR) PROJ_MAKEFILE_NAME = makefile @@ -55,7 +58,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY ######################################## # Directories @@ -79,11 +84,35 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -220,6 +249,9 @@ STLSOFT_1_10_INCLUDE = CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)\include\ $(COMMON_INCLUDES)\ @@ -428,12 +460,16 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.h\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.hpp\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)\xtests\xtests.h\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ \ ############################################################################ diff --git a/build/vc9.x64/makefile b/build/vc9.x64/makefile index 4352fad..550be8c 100644 --- a/build/vc9.x64/makefile +++ b/build/vc9.x64/makefile @@ -5,7 +5,7 @@ # compiler, on Win64 # # Created: 22nd December 2007 -# Updated: 17th August 2012 +# Updated: 25th September 2015 # ############################################################################ @@ -26,18 +26,24 @@ COMP_TAG = vc9 ARCH_TAG = .x64 -OS_TAG = +OS_TAG = PROJ_TAG = fastformat PROJ_VER_MAJOR = 0 PROJ_TAG_VER = $(PROJ_TAG).$(PROJ_VER_MAJOR) -COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) +COMP_ARCH_OS_TAG = $(COMP_TAG)$(OS_TAG)$(ARCH_TAG) -LOKI_SFMT_VER_MAJOR = 0 -LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) +LOKI_SFMT_VER_MAJOR = 0 +LOKI_SFMT_TAG_VER = loki.safefmt.$(LOKI_SFMT_VER_MAJOR) SHWILD_VER_MAJOR = 0 SHWILD_TAG_VER = shwild.$(SHWILD_VER_MAJOR) +XCONTRACT_VER_MAJOR = 0 +XCONTRACT_TAG_VER = xtests.$(XCONTRACT_VER_MAJOR) + +XCOVER_VER_MAJOR = 0 +XCOVER_TAG_VER = xtests.$(XCOVER_VER_MAJOR) + XTESTS_VER_MAJOR = 0 XTESTS_TAG_VER = xtests.$(XTESTS_VER_MAJOR) @@ -46,7 +52,9 @@ PROJ_MAKEFILE_NAME = makefile ######################################## # Testing verbosity -TEST_VERBOSITY = 2 +!ifndef TEST_VERBOSITY +TEST_VERBOSITY = 2 +!endif # !TEST_VERBOSITY ######################################## # Directories @@ -70,11 +78,45 @@ BITBUCKET_SRC_DIR = $(PROJ_SRC_DIR)\bitbucket LOKI_SFMT_SRC_DIR = $(PROJ_SRC_DIR)\loki.safefmt -SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild - -XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include -XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +SHWILD_PROJ_INCLUDE = +!ifdef PANTHEIOS_SHWILD_BASE_DIR +SHWILD_INCLUDE_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\include +SHWILD_SRC_DIR = $(PANTHEIOS_SHWILD_BASE_DIR)\src +SHWILD_PROJ_INCLUDE = -I$(SHWILD_INCLUDE_DIR) +!else +SHWILD_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +SHWILD_SRC_DIR = $(PROJ_SRC_DIR)\shwild +!endif # !PANTHEIOS_SHWILD_BASE_DIR + +XCONTRACT_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCONTRACT_BASE_DIR +XCONTRACT_INCLUDE_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PANTHEIOS_XCONTRACT_BASE_DIR)\src +XCONTRACT_PROJ_INCLUDE = -I$(XCONTRACT_INCLUDE_DIR) +!else +XCONTRACT_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCONTRACT_SRC_DIR = $(PROJ_SRC_DIR)\xcontract +!endif # !PANTHEIOS_XCONTRACT_BASE_DIR + +XCOVER_PROJ_INCLUDE = +!ifdef PANTHEIOS_XCOVER_BASE_DIR +XCOVER_INCLUDE_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\include +XCOVER_SRC_DIR = $(PANTHEIOS_XCOVER_BASE_DIR)\src +XCOVER_PROJ_INCLUDE = -I$(XCOVER_INCLUDE_DIR) +!else +XCOVER_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XCOVER_SRC_DIR = $(PROJ_SRC_DIR)\xcover +!endif # !PANTHEIOS_XCOVER_BASE_DIR + +XTESTS_PROJ_INCLUDE = +!ifdef PANTHEIOS_XTESTS_BASE_DIR +XTESTS_INCLUDE_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\include +XTESTS_SRC_DIR = $(PANTHEIOS_XTESTS_BASE_DIR)\src +XTESTS_PROJ_INCLUDE = -I$(XTESTS_INCLUDE_DIR) +!else +XTESTS_INCLUDE_DIR = $(PROJ_BASE_DIR)\include +XTESTS_SRC_DIR = $(PROJ_SRC_DIR)\xtests +!endif # !PANTHEIOS_XTESTS_BASE_DIR ######################################## # tools @@ -297,6 +339,10 @@ STLSOFT_1_10_INCLUDE = CPPC_INCLUDES_BASE=\ \ -I$(PROJ_INCLUDE_DIR)\ + $(SHWILD_PROJ_INCLUDE)\ + $(XCONTRACT_PROJ_INCLUDE)\ + $(XCOVER_PROJ_INCLUDE)\ + $(XTESTS_PROJ_INCLUDE)\ $(STLSOFT_1_10_INCLUDE)\ -I$(STLSOFT)\include\ $(COMMON_INCLUDES)\ @@ -717,12 +763,21 @@ CORE_HEADERS=\ \ SHWILD_HEADERS=\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.h\ - $(PROJ_INCLUDE_DIR)\shwild\shwild.hpp\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.h\ + $(SHWILD_INCLUDE_DIR)\shwild\shwild.hpp\ + \ + +XCONTRACT_HEADERS=\ + $(XCONTRACT_INCLUDE_DIR)\xcontract\xcontract.h\ + \ + +XCOVER_HEADERS=\ + $(PROJ_INCLUDE_DIR)\xcover\xcover.h\ + $(PROJ_INCLUDE_DIR)\xcover\internal\reporter.h\ \ XTESTS_HEADERS=\ - $(PROJ_INCLUDE_DIR)\xtests\xtests.h\ + $(XTESTS_INCLUDE_DIR)\xtests\xtests.h\ \ ############################################################################ diff --git a/examples/example.arguments.boolean/example.arguments.boolean.cpp b/examples/example.arguments.boolean/example.arguments.boolean.cpp index ea5ee1a..51749a8 100644 --- a/examples/example.arguments.boolean/example.arguments.boolean.cpp +++ b/examples/example.arguments.boolean/example.arguments.boolean.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.arguments.boolean project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,15 +18,15 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include @@ -36,7 +36,7 @@ using std::cin; using std::cout; using std::endl; -/* Standard C Header Files */ +/* Standard C header files */ #include /* ///////////////////////////////////////////////////////////////////////// diff --git a/examples/example.arguments.boolean/implicit_link.cpp b/examples/example.arguments.boolean/implicit_link.cpp index 815eb4c..3580fbb 100644 --- a/examples/example.arguments.boolean/implicit_link.cpp +++ b/examples/example.arguments.boolean/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.arguments.boolean project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.arguments.boolean/vc6/example.arguments.boolean.dsp b/examples/example.arguments.boolean/vc6/example.arguments.boolean.dsp new file mode 100644 index 0000000..3aa73ef --- /dev/null +++ b/examples/example.arguments.boolean/vc6/example.arguments.boolean.dsp @@ -0,0 +1,857 @@ +# Microsoft Developer Studio Project File - Name="example.arguments.boolean" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.arguments.boolean - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.arguments.boolean.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.arguments.boolean.mak" CFG="example.arguments.boolean - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.arguments.boolean - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.boolean - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.arguments.boolean - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.boolean - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.boolean.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.arguments.boolean - Win32 Release" +# Name "example.arguments.boolean - Win32 Debug" +# Name "example.arguments.boolean - Win32 Release Multithreaded" +# Name "example.arguments.boolean - Win32 Debug Multithreaded" +# Name "example.arguments.boolean - Win32 Release Multithreaded DLL" +# Name "example.arguments.boolean - Win32 Debug Multithreaded DLL" +# Name "example.arguments.boolean - Win32 Unicode Release" +# Name "example.arguments.boolean - Win32 Unicode Debug" +# Name "example.arguments.boolean - Win32 Unicode Release Multithreaded" +# Name "example.arguments.boolean - Win32 Unicode Debug Multithreaded" +# Name "example.arguments.boolean - Win32 Unicode Release Multithreaded DLL" +# Name "example.arguments.boolean - Win32 Unicode Debug Multithreaded DLL" +# Name "example.arguments.boolean - Win32 Release pseudoUNIX" +# Name "example.arguments.boolean - Win32 Debug pseudoUNIX" +# Name "example.arguments.boolean - Win32 Release Multithreaded pseudoUNIX" +# Name "example.arguments.boolean - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.arguments.boolean - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.arguments.boolean - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.arguments.boolean.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\bool.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.arguments.character/example.arguments.character.cpp b/examples/example.arguments.character/example.arguments.character.cpp index 72e6600..3c664ac 100644 --- a/examples/example.arguments.character/example.arguments.character.cpp +++ b/examples/example.arguments.character/example.arguments.character.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.arguments.character project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,13 +18,13 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include @@ -34,7 +34,7 @@ using std::cin; using std::cout; using std::endl; -/* Standard C Header Files */ +/* Standard C header files */ #include /* ///////////////////////////////////////////////////////////////////////// diff --git a/examples/example.arguments.character/implicit_link.cpp b/examples/example.arguments.character/implicit_link.cpp index 5869ccf..2f49cbf 100644 --- a/examples/example.arguments.character/implicit_link.cpp +++ b/examples/example.arguments.character/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.arguments.character project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.arguments.character/vc6/example.arguments.character.dsp b/examples/example.arguments.character/vc6/example.arguments.character.dsp new file mode 100644 index 0000000..d52deb9 --- /dev/null +++ b/examples/example.arguments.character/vc6/example.arguments.character.dsp @@ -0,0 +1,853 @@ +# Microsoft Developer Studio Project File - Name="example.arguments.character" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.arguments.character - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.arguments.character.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.arguments.character.mak" CFG="example.arguments.character - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.arguments.character - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.character - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.arguments.character - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.character - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.character.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.arguments.character - Win32 Release" +# Name "example.arguments.character - Win32 Debug" +# Name "example.arguments.character - Win32 Release Multithreaded" +# Name "example.arguments.character - Win32 Debug Multithreaded" +# Name "example.arguments.character - Win32 Release Multithreaded DLL" +# Name "example.arguments.character - Win32 Debug Multithreaded DLL" +# Name "example.arguments.character - Win32 Unicode Release" +# Name "example.arguments.character - Win32 Unicode Debug" +# Name "example.arguments.character - Win32 Unicode Release Multithreaded" +# Name "example.arguments.character - Win32 Unicode Debug Multithreaded" +# Name "example.arguments.character - Win32 Unicode Release Multithreaded DLL" +# Name "example.arguments.character - Win32 Unicode Debug Multithreaded DLL" +# Name "example.arguments.character - Win32 Release pseudoUNIX" +# Name "example.arguments.character - Win32 Debug pseudoUNIX" +# Name "example.arguments.character - Win32 Release Multithreaded pseudoUNIX" +# Name "example.arguments.character - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.arguments.character - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.arguments.character - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.arguments.character.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.arguments.reals/example.arguments.reals.cpp b/examples/example.arguments.reals/example.arguments.reals.cpp index 145ff56..9cd06be 100644 --- a/examples/example.arguments.reals/example.arguments.reals.cpp +++ b/examples/example.arguments.reals/example.arguments.reals.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.arguments.reals project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,7 +18,7 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include @@ -27,9 +27,9 @@ # error Cannot compile this file with a compiler that does not support the filter_type mechanism #endif /* FASTFORMAT_NO_FILTER_TYPE_CONVERSION_SHIM_SUPPORT */ -/* STLSoft Header Files */ +/* STLSoft header files */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include @@ -39,7 +39,7 @@ using std::cin; using std::cout; using std::endl; -/* Standard C Header Files */ +/* Standard C header files */ #include /* ////////////////////////////////////////////////////////////////////// */ diff --git a/examples/example.arguments.reals/implicit_link.cpp b/examples/example.arguments.reals/implicit_link.cpp index 0e9a7d2..ebfa62d 100644 --- a/examples/example.arguments.reals/implicit_link.cpp +++ b/examples/example.arguments.reals/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.arguments.reals project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.arguments.reals/vc6/example.arguments.reals.dsp b/examples/example.arguments.reals/vc6/example.arguments.reals.dsp new file mode 100644 index 0000000..d7f9c78 --- /dev/null +++ b/examples/example.arguments.reals/vc6/example.arguments.reals.dsp @@ -0,0 +1,857 @@ +# Microsoft Developer Studio Project File - Name="example.arguments.reals" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.arguments.reals - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.arguments.reals.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.arguments.reals.mak" CFG="example.arguments.reals - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.arguments.reals - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.reals - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.arguments.reals - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.reals - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.reals.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.arguments.reals - Win32 Release" +# Name "example.arguments.reals - Win32 Debug" +# Name "example.arguments.reals - Win32 Release Multithreaded" +# Name "example.arguments.reals - Win32 Debug Multithreaded" +# Name "example.arguments.reals - Win32 Release Multithreaded DLL" +# Name "example.arguments.reals - Win32 Debug Multithreaded DLL" +# Name "example.arguments.reals - Win32 Unicode Release" +# Name "example.arguments.reals - Win32 Unicode Debug" +# Name "example.arguments.reals - Win32 Unicode Release Multithreaded" +# Name "example.arguments.reals - Win32 Unicode Debug Multithreaded" +# Name "example.arguments.reals - Win32 Unicode Release Multithreaded DLL" +# Name "example.arguments.reals - Win32 Unicode Debug Multithreaded DLL" +# Name "example.arguments.reals - Win32 Release pseudoUNIX" +# Name "example.arguments.reals - Win32 Debug pseudoUNIX" +# Name "example.arguments.reals - Win32 Release Multithreaded pseudoUNIX" +# Name "example.arguments.reals - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.arguments.reals - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.arguments.reals - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.arguments.reals.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\reals.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.arguments.void_pointers/example.arguments.void_pointers.cpp b/examples/example.arguments.void_pointers/example.arguments.void_pointers.cpp index 0715184..552a4b2 100644 --- a/examples/example.arguments.void_pointers/example.arguments.void_pointers.cpp +++ b/examples/example.arguments.void_pointers/example.arguments.void_pointers.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.arguments.void_pointers project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,12 +18,12 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #define FASTFORMAT_USE_VOID_POINTERS_CONVERSION_SHIMS #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include @@ -33,7 +33,7 @@ using std::cin; using std::cout; using std::endl; -/* Standard C Header Files */ +/* Standard C header files */ #include /* ////////////////////////////////////////////////////////////////////// */ diff --git a/examples/example.arguments.void_pointers/implicit_link.cpp b/examples/example.arguments.void_pointers/implicit_link.cpp index 347a479..7366096 100644 --- a/examples/example.arguments.void_pointers/implicit_link.cpp +++ b/examples/example.arguments.void_pointers/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.arguments.void_pointers project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.arguments.void_pointers/vc6/example.arguments.void_pointers.dsp b/examples/example.arguments.void_pointers/vc6/example.arguments.void_pointers.dsp new file mode 100644 index 0000000..117a817 --- /dev/null +++ b/examples/example.arguments.void_pointers/vc6/example.arguments.void_pointers.dsp @@ -0,0 +1,857 @@ +# Microsoft Developer Studio Project File - Name="example.arguments.void_pointers" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.arguments.void_pointers - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.arguments.void_pointers.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.arguments.void_pointers.mak" CFG="example.arguments.void_pointers - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.arguments.void_pointers - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.arguments.void_pointers - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.arguments.void_pointers - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.arguments.void_pointers - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.arguments.void_pointers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.arguments.void_pointers - Win32 Release" +# Name "example.arguments.void_pointers - Win32 Debug" +# Name "example.arguments.void_pointers - Win32 Release Multithreaded" +# Name "example.arguments.void_pointers - Win32 Debug Multithreaded" +# Name "example.arguments.void_pointers - Win32 Release Multithreaded DLL" +# Name "example.arguments.void_pointers - Win32 Debug Multithreaded DLL" +# Name "example.arguments.void_pointers - Win32 Unicode Release" +# Name "example.arguments.void_pointers - Win32 Unicode Debug" +# Name "example.arguments.void_pointers - Win32 Unicode Release Multithreaded" +# Name "example.arguments.void_pointers - Win32 Unicode Debug Multithreaded" +# Name "example.arguments.void_pointers - Win32 Unicode Release Multithreaded DLL" +# Name "example.arguments.void_pointers - Win32 Unicode Debug Multithreaded DLL" +# Name "example.arguments.void_pointers - Win32 Release pseudoUNIX" +# Name "example.arguments.void_pointers - Win32 Debug pseudoUNIX" +# Name "example.arguments.void_pointers - Win32 Release Multithreaded pseudoUNIX" +# Name "example.arguments.void_pointers - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.arguments.void_pointers - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.arguments.void_pointers - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.arguments.void_pointers.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\void_pointers.hpp" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.ff_101.no_namespace/example.ff_101.no_namespace.cpp b/examples/example.ff_101.no_namespace/example.ff_101.no_namespace.cpp index c6979f4..f4ce6cb 100644 --- a/examples/example.ff_101.no_namespace/example.ff_101.no_namespace.cpp +++ b/examples/example.ff_101.no_namespace/example.ff_101.no_namespace.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.ff_101.no_namespace project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -26,14 +26,14 @@ # include #endif /* _AFXDLL */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #ifdef __AFX_H__ # include #endif /* __AFX_H__ */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #ifdef __AFX_H__ @@ -45,7 +45,7 @@ # include #endif /* OS */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include @@ -55,7 +55,7 @@ using std::cin; using std::cout; using std::endl; -/* Standard C Header Files */ +/* Standard C header files */ #include /* ////////////////////////////////////////////////////////////////////// */ diff --git a/examples/example.ff_101.no_namespace/implicit_link.cpp b/examples/example.ff_101.no_namespace/implicit_link.cpp index b358677..96f5bb9 100644 --- a/examples/example.ff_101.no_namespace/implicit_link.cpp +++ b/examples/example.ff_101.no_namespace/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.ff_101.no_namespace project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.ff_101.no_namespace/vc6/example.ff_101.no_namespace.dsp b/examples/example.ff_101.no_namespace/vc6/example.ff_101.no_namespace.dsp new file mode 100644 index 0000000..34fe034 --- /dev/null +++ b/examples/example.ff_101.no_namespace/vc6/example.ff_101.no_namespace.dsp @@ -0,0 +1,969 @@ +# Microsoft Developer Studio Project File - Name="example.ff_101.no_namespace" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.ff_101.no_namespace - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.ff_101.no_namespace.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.ff_101.no_namespace.mak" CFG="example.ff_101.no_namespace - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.ff_101.no_namespace - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101.no_namespace - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.ff_101.no_namespace - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101.no_namespace - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.no_namespace.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.ff_101.no_namespace - Win32 Release" +# Name "example.ff_101.no_namespace - Win32 Debug" +# Name "example.ff_101.no_namespace - Win32 Release Multithreaded" +# Name "example.ff_101.no_namespace - Win32 Debug Multithreaded" +# Name "example.ff_101.no_namespace - Win32 Release Multithreaded DLL" +# Name "example.ff_101.no_namespace - Win32 Debug Multithreaded DLL" +# Name "example.ff_101.no_namespace - Win32 Unicode Release" +# Name "example.ff_101.no_namespace - Win32 Unicode Debug" +# Name "example.ff_101.no_namespace - Win32 Unicode Release Multithreaded" +# Name "example.ff_101.no_namespace - Win32 Unicode Debug Multithreaded" +# Name "example.ff_101.no_namespace - Win32 Unicode Release Multithreaded DLL" +# Name "example.ff_101.no_namespace - Win32 Unicode Debug Multithreaded DLL" +# Name "example.ff_101.no_namespace - Win32 Release pseudoUNIX" +# Name "example.ff_101.no_namespace - Win32 Debug pseudoUNIX" +# Name "example.ff_101.no_namespace - Win32 Release Multithreaded pseudoUNIX" +# Name "example.ff_101.no_namespace - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.ff_101.no_namespace - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.ff_101.no_namespace - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.ff_101.no_namespace.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "COMSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\string\BSTR_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\comstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\util\CY_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\util\DECIMAL_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\guid.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\util\initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\util\interface_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\internal\interface_traits_std.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\util\object_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\variant.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\util\variant.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\util\VARIANT_functions.h" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\memory\auto_buffer_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\c_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\char_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\FILE.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\stringstream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\vectored_file.hpp" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\sys\uio.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.ff_101/example.ff_101.cpp b/examples/example.ff_101/example.ff_101.cpp index 4336c9e..3dcee6e 100644 --- a/examples/example.ff_101/example.ff_101.cpp +++ b/examples/example.ff_101/example.ff_101.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.ff_101 project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -22,14 +22,14 @@ # include #endif /* _AFXDLL */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #ifdef __AFX_H__ # include #endif /* __AFX_H__ */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #ifdef __AFX_H__ @@ -41,7 +41,7 @@ # include #endif /* OS */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include @@ -51,7 +51,7 @@ using std::cin; using std::cout; using std::endl; -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/examples/example.ff_101/implicit_link.cpp b/examples/example.ff_101/implicit_link.cpp index b189fd5..a32544e 100644 --- a/examples/example.ff_101/implicit_link.cpp +++ b/examples/example.ff_101/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.ff_101 project. * * Created: 2nd June 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.ff_101/vc6/example.ff_101.dsp b/examples/example.ff_101/vc6/example.ff_101.dsp new file mode 100644 index 0000000..60a1925 --- /dev/null +++ b/examples/example.ff_101/vc6/example.ff_101.dsp @@ -0,0 +1,853 @@ +# Microsoft Developer Studio Project File - Name="example.ff_101" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.ff_101 - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.ff_101.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.ff_101.mak" CFG="example.ff_101 - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.ff_101 - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.ff_101 - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.ff_101 - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.ff_101 - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.ff_101.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.ff_101 - Win32 Release" +# Name "example.ff_101 - Win32 Debug" +# Name "example.ff_101 - Win32 Release Multithreaded" +# Name "example.ff_101 - Win32 Debug Multithreaded" +# Name "example.ff_101 - Win32 Release Multithreaded DLL" +# Name "example.ff_101 - Win32 Debug Multithreaded DLL" +# Name "example.ff_101 - Win32 Unicode Release" +# Name "example.ff_101 - Win32 Unicode Debug" +# Name "example.ff_101 - Win32 Unicode Release Multithreaded" +# Name "example.ff_101 - Win32 Unicode Debug Multithreaded" +# Name "example.ff_101 - Win32 Unicode Release Multithreaded DLL" +# Name "example.ff_101 - Win32 Unicode Debug Multithreaded DLL" +# Name "example.ff_101 - Win32 Release pseudoUNIX" +# Name "example.ff_101 - Win32 Debug pseudoUNIX" +# Name "example.ff_101 - Win32 Release Multithreaded pseudoUNIX" +# Name "example.ff_101 - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.ff_101 - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.ff_101 - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.ff_101.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.flush/implicit_link.cpp b/examples/example.flush/implicit_link.cpp index 789aea1..ea22830 100644 --- a/examples/example.flush/implicit_link.cpp +++ b/examples/example.flush/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.flush project. * * Created: 16th October 2010 - * Updated: 16th October 2010 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.flush/vc6/example.flush.dsp b/examples/example.flush/vc6/example.flush.dsp new file mode 100644 index 0000000..88e69ab --- /dev/null +++ b/examples/example.flush/vc6/example.flush.dsp @@ -0,0 +1,875 @@ +# Microsoft Developer Studio Project File - Name="example.flush" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.flush - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.flush.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.flush.mak" CFG="example.flush - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.flush - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.flush - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.flush - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.flush - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.flush.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.flush - Win32 Release" +# Name "example.flush - Win32 Debug" +# Name "example.flush - Win32 Release Multithreaded" +# Name "example.flush - Win32 Debug Multithreaded" +# Name "example.flush - Win32 Release Multithreaded DLL" +# Name "example.flush - Win32 Debug Multithreaded DLL" +# Name "example.flush - Win32 Unicode Release" +# Name "example.flush - Win32 Unicode Debug" +# Name "example.flush - Win32 Unicode Release Multithreaded" +# Name "example.flush - Win32 Unicode Debug Multithreaded" +# Name "example.flush - Win32 Unicode Release Multithreaded DLL" +# Name "example.flush - Win32 Unicode Debug Multithreaded DLL" +# Name "example.flush - Win32 Release pseudoUNIX" +# Name "example.flush - Win32 Debug pseudoUNIX" +# Name "example.flush - Win32 Release Multithreaded pseudoUNIX" +# Name "example.flush - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.flush - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.flush - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.flush.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# End Group +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\memory\allocator_features.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\memory\allocator_selector.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\algorithms\std\alt.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\internal\generated\api_functions.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\memory\auto_buffer.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\shims\access\string\std\basic_string.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\shims\access\string\std\c_string.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\meta\capabilities.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\string\char_traits.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\collections\util\collections.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\util\constraints.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\quality\contract.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\quality\cover.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\winstl\error\error_functions.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\shims\access\string\std\exception.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\util\exception_string.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\exceptions.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\platformstl\error\exceptions.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\error\exceptions.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\winstl\error\exceptions.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\shims\conversion\filter_type\fast_integers.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\fastformat.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\fastformat.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\ff.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\shims\access\string\fwd.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\shims\action\fmt_slices\generic_string.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\internal\generated\helper_functions.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\util\sinks\helpers.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\implicit_link.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\internal\initialiser.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\conversion\integer_to_string.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\meta\is_integral_type.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\meta\is_pointer_type.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\meta\is_same_type.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\util\std\iterator_helper.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\util\std\library_discriminator.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\meta\util\meta_.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\internal\cccap\msvc.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\meta\n_types.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\internal\cccap\obsolete.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\error\os_exception.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\sinks\ostream.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\platformstl\platformstl.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\platformstl\platformstl.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\algorithms\pod.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\error\project_exception.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\internal\safestr.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\smartptr\scoped_handle.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\internal\shim_macros.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\string\shim_string.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\util\sign_traits.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\meta\size_of.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\util\size_traits.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\format\standard_flags.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\util\std_swap.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\internal\stlsoft.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\stlsoft.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\shims\access\string.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\include\fastformat\internal\string_encoding.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\string\string_traits.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\string\string_traits_fwd.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\string\string_view.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\error\throw_policies.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\winstl\winstl.h +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\..\..\STLSoft\Releases\1.9\STLSoft\include\stlsoft\meta\yesno.hpp +# End Source File +# End Group +# End Target +# End Project diff --git a/examples/example.inserter.hex/example.inserter.hex.cpp b/examples/example.inserter.hex/example.inserter.hex.cpp index bd516d1..2610c3c 100644 --- a/examples/example.inserter.hex/example.inserter.hex.cpp +++ b/examples/example.inserter.hex/example.inserter.hex.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.inserter.hex project. * * Created: 4th February 2010 - * Updated: 11th December 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,15 +18,15 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include @@ -36,7 +36,7 @@ using std::cin; using std::cout; using std::endl; -/* Standard C Header Files */ +/* Standard C header files */ #include /* ////////////////////////////////////////////////////////////////////// */ diff --git a/examples/example.inserter.hex/implicit_link.cpp b/examples/example.inserter.hex/implicit_link.cpp index 274ea2b..c11cefb 100644 --- a/examples/example.inserter.hex/implicit_link.cpp +++ b/examples/example.inserter.hex/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.inserter.hex project. * * Created: 4th February 2010 - * Updated: 4th February 2010 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.inserter.hex/vc6/example.inserter.hex.dsp b/examples/example.inserter.hex/vc6/example.inserter.hex.dsp new file mode 100644 index 0000000..c9457f7 --- /dev/null +++ b/examples/example.inserter.hex/vc6/example.inserter.hex.dsp @@ -0,0 +1,879 @@ +# Microsoft Developer Studio Project File - Name="example.inserter.hex" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.inserter.hex - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.inserter.hex.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.inserter.hex.mak" CFG="example.inserter.hex - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.inserter.hex - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.hex - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.inserter.hex - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.hex - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.hex.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.inserter.hex - Win32 Release" +# Name "example.inserter.hex - Win32 Debug" +# Name "example.inserter.hex - Win32 Release Multithreaded" +# Name "example.inserter.hex - Win32 Debug Multithreaded" +# Name "example.inserter.hex - Win32 Release Multithreaded DLL" +# Name "example.inserter.hex - Win32 Debug Multithreaded DLL" +# Name "example.inserter.hex - Win32 Unicode Release" +# Name "example.inserter.hex - Win32 Unicode Debug" +# Name "example.inserter.hex - Win32 Unicode Release Multithreaded" +# Name "example.inserter.hex - Win32 Unicode Debug Multithreaded" +# Name "example.inserter.hex - Win32 Unicode Release Multithreaded DLL" +# Name "example.inserter.hex - Win32 Unicode Debug Multithreaded DLL" +# Name "example.inserter.hex - Win32 Release pseudoUNIX" +# Name "example.inserter.hex - Win32 Debug pseudoUNIX" +# Name "example.inserter.hex - Win32 Release Multithreaded pseudoUNIX" +# Name "example.inserter.hex - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.inserter.hex - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.inserter.hex - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.inserter.hex.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\real.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\string\snprintf.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_e.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_f.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_g.hpp" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.inserter.integers.WindowsLargeIntegers/example.inserter.integers.WindowsLargeIntegers.cpp b/examples/example.inserter.integers.WindowsLargeIntegers/example.inserter.integers.WindowsLargeIntegers.cpp index 854ea8a..a5327f3 100644 --- a/examples/example.inserter.integers.WindowsLargeIntegers/example.inserter.integers.WindowsLargeIntegers.cpp +++ b/examples/example.inserter.integers.WindowsLargeIntegers/example.inserter.integers.WindowsLargeIntegers.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.inserter.integers.WindowsLargeIntegers project. * * Created: 30th November 2010 - * Updated: 16th January 2011 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010-2011, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,18 +18,18 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include /* ////////////////////////////////////////////////////////////////////// */ diff --git a/examples/example.inserter.integers.WindowsLargeIntegers/implicit_link.cpp b/examples/example.inserter.integers.WindowsLargeIntegers/implicit_link.cpp index 2e99442..7590953 100644 --- a/examples/example.inserter.integers.WindowsLargeIntegers/implicit_link.cpp +++ b/examples/example.inserter.integers.WindowsLargeIntegers/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.inserter.integers.WindowsLargeIntegers project. * * Created: 11th December 2010 - * Updated: 11th December 2010 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.inserter.integers.WindowsLargeIntegers/vc6/example.inserter.integers.WindowsLargeIntegers.dsp b/examples/example.inserter.integers.WindowsLargeIntegers/vc6/example.inserter.integers.WindowsLargeIntegers.dsp new file mode 100644 index 0000000..b2b212e --- /dev/null +++ b/examples/example.inserter.integers.WindowsLargeIntegers/vc6/example.inserter.integers.WindowsLargeIntegers.dsp @@ -0,0 +1,947 @@ +# Microsoft Developer Studio Project File - Name="example.inserter.integers.WindowsLargeIntegers" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.inserter.integers.WindowsLargeIntegers - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.inserter.integers.WindowsLargeIntegers.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.inserter.integers.WindowsLargeIntegers.mak" CFG="example.inserter.integers.WindowsLargeIntegers - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.WindowsLargeIntegers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Release" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Debug" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded DLL" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded DLL" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Release" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Debug" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Release Multithreaded" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Debug Multithreaded" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Release Multithreaded DLL" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Unicode Debug Multithreaded DLL" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Release pseudoUNIX" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Debug pseudoUNIX" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded pseudoUNIX" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.inserter.integers.WindowsLargeIntegers - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.inserter.integers.WindowsLargeIntegers.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\case_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\conversion_error.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\ctype_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\integral_printf_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\inserters\int_typedefs.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\integer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\LARGE_INTEGER.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\string\snprintf.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_i.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\ULARGE_INTEGER.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\WindowsLargeIntegers.hpp" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.inserter.integers/example.inserter.integers.cpp b/examples/example.inserter.integers/example.inserter.integers.cpp index c903eeb..8ca845d 100644 --- a/examples/example.inserter.integers/example.inserter.integers.cpp +++ b/examples/example.inserter.integers/example.inserter.integers.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.inserter.integers project. * * Created: 26th May 2009 - * Updated: 11th December 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009-2010, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,15 +18,15 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include @@ -36,7 +36,7 @@ using std::cin; using std::cout; using std::endl; -/* Standard C Header Files */ +/* Standard C header files */ #include /* ////////////////////////////////////////////////////////////////////// */ diff --git a/examples/example.inserter.integers/implicit_link.cpp b/examples/example.inserter.integers/implicit_link.cpp index 0a1d8d5..8cbe7cc 100644 --- a/examples/example.inserter.integers/implicit_link.cpp +++ b/examples/example.inserter.integers/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.inserter.integers project. * * Created: 26th May 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.inserter.integers/vc6/example.inserter.integers.dsp b/examples/example.inserter.integers/vc6/example.inserter.integers.dsp new file mode 100644 index 0000000..55c0e8a --- /dev/null +++ b/examples/example.inserter.integers/vc6/example.inserter.integers.dsp @@ -0,0 +1,879 @@ +# Microsoft Developer Studio Project File - Name="example.inserter.integers" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.inserter.integers - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.inserter.integers.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.inserter.integers.mak" CFG="example.inserter.integers - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.inserter.integers - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.integers - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.inserter.integers - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.integers - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.integers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.inserter.integers - Win32 Release" +# Name "example.inserter.integers - Win32 Debug" +# Name "example.inserter.integers - Win32 Release Multithreaded" +# Name "example.inserter.integers - Win32 Debug Multithreaded" +# Name "example.inserter.integers - Win32 Release Multithreaded DLL" +# Name "example.inserter.integers - Win32 Debug Multithreaded DLL" +# Name "example.inserter.integers - Win32 Unicode Release" +# Name "example.inserter.integers - Win32 Unicode Debug" +# Name "example.inserter.integers - Win32 Unicode Release Multithreaded" +# Name "example.inserter.integers - Win32 Unicode Debug Multithreaded" +# Name "example.inserter.integers - Win32 Unicode Release Multithreaded DLL" +# Name "example.inserter.integers - Win32 Unicode Debug Multithreaded DLL" +# Name "example.inserter.integers - Win32 Release pseudoUNIX" +# Name "example.inserter.integers - Win32 Debug pseudoUNIX" +# Name "example.inserter.integers - Win32 Release Multithreaded pseudoUNIX" +# Name "example.inserter.integers - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.inserter.integers - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.inserter.integers - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.inserter.integers.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\real.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\string\snprintf.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_e.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_f.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_g.hpp" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.inserter.reals/example.inserter.reals.cpp b/examples/example.inserter.reals/example.inserter.reals.cpp index 735cdca..f74395d 100644 --- a/examples/example.inserter.reals/example.inserter.reals.cpp +++ b/examples/example.inserter.reals/example.inserter.reals.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.inserter.reals project. * * Created: 2nd June 2008 - * Updated: 26th May 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,7 +18,7 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include @@ -26,9 +26,9 @@ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include @@ -38,7 +38,7 @@ using std::cin; using std::cout; using std::endl; -/* Standard C Header Files */ +/* Standard C header files */ #include /* ////////////////////////////////////////////////////////////////////// */ diff --git a/examples/example.inserter.reals/implicit_link.cpp b/examples/example.inserter.reals/implicit_link.cpp index f011ab2..77e0ef1 100644 --- a/examples/example.inserter.reals/implicit_link.cpp +++ b/examples/example.inserter.reals/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.inserter.reals project. * * Created: 26th April 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.inserter.reals/vc6/example.inserter.reals.dsp b/examples/example.inserter.reals/vc6/example.inserter.reals.dsp new file mode 100644 index 0000000..72920c6 --- /dev/null +++ b/examples/example.inserter.reals/vc6/example.inserter.reals.dsp @@ -0,0 +1,879 @@ +# Microsoft Developer Studio Project File - Name="example.inserter.reals" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.inserter.reals - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.inserter.reals.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.inserter.reals.mak" CFG="example.inserter.reals - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.inserter.reals - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.inserter.reals - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.inserter.reals - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.inserter.reals - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/example.inserter.reals.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.inserter.reals - Win32 Release" +# Name "example.inserter.reals - Win32 Debug" +# Name "example.inserter.reals - Win32 Release Multithreaded" +# Name "example.inserter.reals - Win32 Debug Multithreaded" +# Name "example.inserter.reals - Win32 Release Multithreaded DLL" +# Name "example.inserter.reals - Win32 Debug Multithreaded DLL" +# Name "example.inserter.reals - Win32 Unicode Release" +# Name "example.inserter.reals - Win32 Unicode Debug" +# Name "example.inserter.reals - Win32 Unicode Release Multithreaded" +# Name "example.inserter.reals - Win32 Unicode Debug Multithreaded" +# Name "example.inserter.reals - Win32 Unicode Release Multithreaded DLL" +# Name "example.inserter.reals - Win32 Unicode Debug Multithreaded DLL" +# Name "example.inserter.reals - Win32 Release pseudoUNIX" +# Name "example.inserter.reals - Win32 Debug pseudoUNIX" +# Name "example.inserter.reals - Win32 Release Multithreaded pseudoUNIX" +# Name "example.inserter.reals - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.inserter.reals - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.inserter.reals - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.inserter.reals.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\real.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\string\snprintf.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_e.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_f.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_g.hpp" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.iterators.format_iterator/example.iterators.format_iterator.cpp b/examples/example.iterators.format_iterator/example.iterators.format_iterator.cpp index a59bb1e..e0461d5 100644 --- a/examples/example.iterators.format_iterator/example.iterators.format_iterator.cpp +++ b/examples/example.iterators.format_iterator/example.iterators.format_iterator.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.iterators.format_iterator project. * * Created: 26th March 2010 - * Updated: 4th April 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,7 +18,7 @@ * ////////////////////////////////////////////////////////////////////// */ -/* STLSoft Header Files */ +/* STLSoft header files */ #include #if ( defined(STLSOFT_COMPILER_IS_INTEL) || \ @@ -27,23 +27,23 @@ # pragma warning(disable : 4996) #endif -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include /* ///////////////////////////////////////////////////////////////////////// diff --git a/examples/example.iterators.format_iterator/implicit_link.cpp b/examples/example.iterators.format_iterator/implicit_link.cpp index e48234f..828e88f 100644 --- a/examples/example.iterators.format_iterator/implicit_link.cpp +++ b/examples/example.iterators.format_iterator/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.iterators.format_iterator project. * * Created: 26th March 2010 - * Updated: 26th March 2010 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.iterators.format_iterator/vc6/example.iterators.format_iterator.dsp b/examples/example.iterators.format_iterator/vc6/example.iterators.format_iterator.dsp new file mode 100644 index 0000000..1f9c675 --- /dev/null +++ b/examples/example.iterators.format_iterator/vc6/example.iterators.format_iterator.dsp @@ -0,0 +1,777 @@ +# Microsoft Developer Studio Project File - Name="example.iterators.format_iterator" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.iterators.format_iterator - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.iterators.format_iterator.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.iterators.format_iterator.mak" CFG="example.iterators.format_iterator - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.iterators.format_iterator - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.iterators.format_iterator - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.iterators.format_iterator - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.iterators.format_iterator - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.iterators.format_iterator.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.iterators.format_iterator - Win32 Release" +# Name "example.iterators.format_iterator - Win32 Debug" +# Name "example.iterators.format_iterator - Win32 Release Multithreaded" +# Name "example.iterators.format_iterator - Win32 Debug Multithreaded" +# Name "example.iterators.format_iterator - Win32 Release Multithreaded DLL" +# Name "example.iterators.format_iterator - Win32 Debug Multithreaded DLL" +# Name "example.iterators.format_iterator - Win32 Unicode Release" +# Name "example.iterators.format_iterator - Win32 Unicode Debug" +# Name "example.iterators.format_iterator - Win32 Unicode Release Multithreaded" +# Name "example.iterators.format_iterator - Win32 Unicode Debug Multithreaded" +# Name "example.iterators.format_iterator - Win32 Unicode Release Multithreaded DLL" +# Name "example.iterators.format_iterator - Win32 Unicode Debug Multithreaded DLL" +# Name "example.iterators.format_iterator - Win32 Release pseudoUNIX" +# Name "example.iterators.format_iterator - Win32 Debug pseudoUNIX" +# Name "example.iterators.format_iterator - Win32 Release Multithreaded pseudoUNIX" +# Name "example.iterators.format_iterator - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.iterators.format_iterator - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.iterators.format_iterator - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.iterators.format_iterator.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.memory.1/example.memory.1.cpp b/examples/example.memory.1/example.memory.1.cpp index 1c4e6ab..4fea76f 100644 --- a/examples/example.memory.1/example.memory.1.cpp +++ b/examples/example.memory.1/example.memory.1.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.memory.1 project. * * Created: 20th September 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,26 +20,26 @@ #define FASTFORMAT_NO_USE_JIT_CACHE -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Boost Header Files */ +/* Boost header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/examples/example.memory.1/implicit_link.cpp b/examples/example.memory.1/implicit_link.cpp index 282f26f..12a6563 100644 --- a/examples/example.memory.1/implicit_link.cpp +++ b/examples/example.memory.1/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.memory.1 project. * * Created: 20th September 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.memory.1/vc6/example.memory.1.dsp b/examples/example.memory.1/vc6/example.memory.1.dsp new file mode 100644 index 0000000..6a26d8a --- /dev/null +++ b/examples/example.memory.1/vc6/example.memory.1.dsp @@ -0,0 +1,825 @@ +# Microsoft Developer Studio Project File - Name="example.memory.1" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.memory.1 - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.memory.1.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.memory.1.mak" CFG="example.memory.1 - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.memory.1 - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.memory.1 - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.memory.1 - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.memory.1 - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.memory.1.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.memory.1 - Win32 Release" +# Name "example.memory.1 - Win32 Debug" +# Name "example.memory.1 - Win32 Release Multithreaded" +# Name "example.memory.1 - Win32 Debug Multithreaded" +# Name "example.memory.1 - Win32 Release Multithreaded DLL" +# Name "example.memory.1 - Win32 Debug Multithreaded DLL" +# Name "example.memory.1 - Win32 Unicode Release" +# Name "example.memory.1 - Win32 Unicode Debug" +# Name "example.memory.1 - Win32 Unicode Release Multithreaded" +# Name "example.memory.1 - Win32 Unicode Debug Multithreaded" +# Name "example.memory.1 - Win32 Unicode Release Multithreaded DLL" +# Name "example.memory.1 - Win32 Unicode Debug Multithreaded DLL" +# Name "example.memory.1 - Win32 Release pseudoUNIX" +# Name "example.memory.1 - Win32 Debug pseudoUNIX" +# Name "example.memory.1 - Win32 Release Multithreaded pseudoUNIX" +# Name "example.memory.1 - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.memory.1 - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.memory.1 - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.memory.1.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.overload.2.custom_type.filter_type/example.overload.2.custom_type.filter_type.cpp b/examples/example.overload.2.custom_type.filter_type/example.overload.2.custom_type.filter_type.cpp index 1ff3bad..6b1ea57 100644 --- a/examples/example.overload.2.custom_type.filter_type/example.overload.2.custom_type.filter_type.cpp +++ b/examples/example.overload.2.custom_type.filter_type/example.overload.2.custom_type.filter_type.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.overload.2.custom_type.filter_type project. * * Created: 2nd March 2009 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -106,18 +106,18 @@ namespace filters * Includes */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include /* ////////////////////////////////////////////////////////////////////// */ diff --git a/examples/example.overload.2.custom_type.filter_type/implicit_link.cpp b/examples/example.overload.2.custom_type.filter_type/implicit_link.cpp index 2d373f2..c567368 100644 --- a/examples/example.overload.2.custom_type.filter_type/implicit_link.cpp +++ b/examples/example.overload.2.custom_type.filter_type/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.overload.2.custom_type.filter_type project. * * Created: 2nd March 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.overload.2.custom_type.filter_type/vc6/example.overload.2.custom_type.filter_type.dsp b/examples/example.overload.2.custom_type.filter_type/vc6/example.overload.2.custom_type.filter_type.dsp new file mode 100644 index 0000000..faf8d9d --- /dev/null +++ b/examples/example.overload.2.custom_type.filter_type/vc6/example.overload.2.custom_type.filter_type.dsp @@ -0,0 +1,857 @@ +# Microsoft Developer Studio Project File - Name="example.overload.2.custom_type.filter_type" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.overload.2.custom_type.filter_type - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.overload.2.custom_type.filter_type.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.overload.2.custom_type.filter_type.mak" CFG="example.overload.2.custom_type.filter_type - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.filter_type.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.overload.2.custom_type.filter_type - Win32 Release" +# Name "example.overload.2.custom_type.filter_type - Win32 Debug" +# Name "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded" +# Name "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded" +# Name "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded DLL" +# Name "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded DLL" +# Name "example.overload.2.custom_type.filter_type - Win32 Unicode Release" +# Name "example.overload.2.custom_type.filter_type - Win32 Unicode Debug" +# Name "example.overload.2.custom_type.filter_type - Win32 Unicode Release Multithreaded" +# Name "example.overload.2.custom_type.filter_type - Win32 Unicode Debug Multithreaded" +# Name "example.overload.2.custom_type.filter_type - Win32 Unicode Release Multithreaded DLL" +# Name "example.overload.2.custom_type.filter_type - Win32 Unicode Debug Multithreaded DLL" +# Name "example.overload.2.custom_type.filter_type - Win32 Release pseudoUNIX" +# Name "example.overload.2.custom_type.filter_type - Win32 Debug pseudoUNIX" +# Name "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded pseudoUNIX" +# Name "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.overload.2.custom_type.filter_type - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.overload.2.custom_type.filter_type - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.overload.2.custom_type.filter_type.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.overload.2.custom_type.inserter/example.overload.2.custom_type.inserter.cpp b/examples/example.overload.2.custom_type.inserter/example.overload.2.custom_type.inserter.cpp index e8a88e0..b8572b2 100644 --- a/examples/example.overload.2.custom_type.inserter/example.overload.2.custom_type.inserter.cpp +++ b/examples/example.overload.2.custom_type.inserter/example.overload.2.custom_type.inserter.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.overload.2.custom_type.inserter project. * * Created: 2nd March 2009 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -58,19 +58,19 @@ class superhero * Includes */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/examples/example.overload.2.custom_type.inserter/implicit_link.cpp b/examples/example.overload.2.custom_type.inserter/implicit_link.cpp index 814335e..7cb1f79 100644 --- a/examples/example.overload.2.custom_type.inserter/implicit_link.cpp +++ b/examples/example.overload.2.custom_type.inserter/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.overload.2.custom_type.inserter project. * * Created: 2nd March 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.overload.2.custom_type.inserter/vc6/example.overload.2.custom_type.inserter.dsp b/examples/example.overload.2.custom_type.inserter/vc6/example.overload.2.custom_type.inserter.dsp new file mode 100644 index 0000000..2d9e2fc --- /dev/null +++ b/examples/example.overload.2.custom_type.inserter/vc6/example.overload.2.custom_type.inserter.dsp @@ -0,0 +1,893 @@ +# Microsoft Developer Studio Project File - Name="example.overload.2.custom_type.inserter" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.overload.2.custom_type.inserter - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.overload.2.custom_type.inserter.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.overload.2.custom_type.inserter.mak" CFG="example.overload.2.custom_type.inserter - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.inserter.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.overload.2.custom_type.inserter - Win32 Release" +# Name "example.overload.2.custom_type.inserter - Win32 Debug" +# Name "example.overload.2.custom_type.inserter - Win32 Release Multithreaded" +# Name "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded" +# Name "example.overload.2.custom_type.inserter - Win32 Release Multithreaded DLL" +# Name "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded DLL" +# Name "example.overload.2.custom_type.inserter - Win32 Unicode Release" +# Name "example.overload.2.custom_type.inserter - Win32 Unicode Debug" +# Name "example.overload.2.custom_type.inserter - Win32 Unicode Release Multithreaded" +# Name "example.overload.2.custom_type.inserter - Win32 Unicode Debug Multithreaded" +# Name "example.overload.2.custom_type.inserter - Win32 Unicode Release Multithreaded DLL" +# Name "example.overload.2.custom_type.inserter - Win32 Unicode Debug Multithreaded DLL" +# Name "example.overload.2.custom_type.inserter - Win32 Release pseudoUNIX" +# Name "example.overload.2.custom_type.inserter - Win32 Debug pseudoUNIX" +# Name "example.overload.2.custom_type.inserter - Win32 Release Multithreaded pseudoUNIX" +# Name "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.overload.2.custom_type.inserter - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.overload.2.custom_type.inserter - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.overload.2.custom_type.inserter.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\util\array_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\null_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\split_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\static_array.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\trim_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\bundles\properties_bundle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.overload.2.custom_type.string_access_shims/example.overload.2.custom_type.string_access_shims.cpp b/examples/example.overload.2.custom_type.string_access_shims/example.overload.2.custom_type.string_access_shims.cpp index 6dfb4a3..6374d5c 100644 --- a/examples/example.overload.2.custom_type.string_access_shims/example.overload.2.custom_type.string_access_shims.cpp +++ b/examples/example.overload.2.custom_type.string_access_shims/example.overload.2.custom_type.string_access_shims.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.overload.2.custom_type.string_access_shims project. * * Created: 2nd March 2009 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -73,11 +73,11 @@ namespace stlsoft * Includes */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #if _STLSOFT_VER < 0x010a0000 && \ @@ -85,12 +85,12 @@ namespace stlsoft # include #endif -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include /* ///////////////////////////////////////////////////////////////////////// diff --git a/examples/example.overload.2.custom_type.string_access_shims/implicit_link.cpp b/examples/example.overload.2.custom_type.string_access_shims/implicit_link.cpp index 806bec6..8e7a2f3 100644 --- a/examples/example.overload.2.custom_type.string_access_shims/implicit_link.cpp +++ b/examples/example.overload.2.custom_type.string_access_shims/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.overload.2.custom_type.string_access_shims project. * * Created: 2nd March 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.overload.2.custom_type.string_access_shims/vc6/example.overload.2.custom_type.string_access_shims.dsp b/examples/example.overload.2.custom_type.string_access_shims/vc6/example.overload.2.custom_type.string_access_shims.dsp new file mode 100644 index 0000000..634c7aa --- /dev/null +++ b/examples/example.overload.2.custom_type.string_access_shims/vc6/example.overload.2.custom_type.string_access_shims.dsp @@ -0,0 +1,857 @@ +# Microsoft Developer Studio Project File - Name="example.overload.2.custom_type.string_access_shims" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.overload.2.custom_type.string_access_shims - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.overload.2.custom_type.string_access_shims.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.overload.2.custom_type.string_access_shims.mak" CFG="example.overload.2.custom_type.string_access_shims - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.2.custom_type.string_access_shims.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.overload.2.custom_type.string_access_shims - Win32 Release" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Debug" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded DLL" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded DLL" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Unicode Release" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Unicode Debug" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Unicode Release Multithreaded" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Unicode Debug Multithreaded" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Unicode Release Multithreaded DLL" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Unicode Debug Multithreaded DLL" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Release pseudoUNIX" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Debug pseudoUNIX" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded pseudoUNIX" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.overload.2.custom_type.string_access_shims - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.overload.2.custom_type.string_access_shims.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.overload.3.floatingpoint_columns/implicit_link.cpp b/examples/example.overload.3.floatingpoint_columns/implicit_link.cpp index afd3afe..53e7892 100644 --- a/examples/example.overload.3.floatingpoint_columns/implicit_link.cpp +++ b/examples/example.overload.3.floatingpoint_columns/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.overload.3.floatingpoint_columns project. * * Created: 30th April 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.overload.3.floatingpoint_columns/vc6/example.overload.3.floatingpoint_columns.dsp b/examples/example.overload.3.floatingpoint_columns/vc6/example.overload.3.floatingpoint_columns.dsp new file mode 100644 index 0000000..705b479 --- /dev/null +++ b/examples/example.overload.3.floatingpoint_columns/vc6/example.overload.3.floatingpoint_columns.dsp @@ -0,0 +1,873 @@ +# Microsoft Developer Studio Project File - Name="example.overload.3.floatingpoint_columns" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.overload.3.floatingpoint_columns - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.overload.3.floatingpoint_columns.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.overload.3.floatingpoint_columns.mak" CFG="example.overload.3.floatingpoint_columns - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /I "../../../include" /I "$(STLSOFT)/include" /I "$(BOOST)" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.overload.3.floatingpoint_columns.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.overload.3.floatingpoint_columns - Win32 Release" +# Name "example.overload.3.floatingpoint_columns - Win32 Debug" +# Name "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded" +# Name "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded" +# Name "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded DLL" +# Name "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded DLL" +# Name "example.overload.3.floatingpoint_columns - Win32 Unicode Release" +# Name "example.overload.3.floatingpoint_columns - Win32 Unicode Debug" +# Name "example.overload.3.floatingpoint_columns - Win32 Unicode Release Multithreaded" +# Name "example.overload.3.floatingpoint_columns - Win32 Unicode Debug Multithreaded" +# Name "example.overload.3.floatingpoint_columns - Win32 Unicode Release Multithreaded DLL" +# Name "example.overload.3.floatingpoint_columns - Win32 Unicode Debug Multithreaded DLL" +# Name "example.overload.3.floatingpoint_columns - Win32 Release pseudoUNIX" +# Name "example.overload.3.floatingpoint_columns - Win32 Debug pseudoUNIX" +# Name "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded pseudoUNIX" +# Name "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded pseudoUNIX" +# Name "example.overload.3.floatingpoint_columns - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "example.overload.3.floatingpoint_columns - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.overload.3.floatingpoint_columns.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\bool.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\real.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_e.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_f.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_g.hpp" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.overload.3.record_insert/example.overload.3.record_insert.cpp b/examples/example.overload.3.record_insert/example.overload.3.record_insert.cpp index b6bb439..7b8427e 100644 --- a/examples/example.overload.3.record_insert/example.overload.3.record_insert.cpp +++ b/examples/example.overload.3.record_insert/example.overload.3.record_insert.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.overload.3.record_insert project. * * Created: 20th September 2008 - * Updated: 22nd June 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,14 +18,14 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* PlatformSTL Header Files */ +/* PlatformSTL header files */ #include #include @@ -33,12 +33,12 @@ platformstl_ns_using(performance_counter) -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #if defined(_MSC_VER) && \ diff --git a/examples/example.overload.3.record_insert/implicit_link.cpp b/examples/example.overload.3.record_insert/implicit_link.cpp index 9880ff9..53c262d 100644 --- a/examples/example.overload.3.record_insert/implicit_link.cpp +++ b/examples/example.overload.3.record_insert/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.overload.3.record_insert project. * * Created: 20th September 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.overload.3.record_insert/vc6/example.overload.3.record_insert.dsp b/examples/example.overload.3.record_insert/vc6/example.overload.3.record_insert.dsp new file mode 100644 index 0000000..3366989 --- /dev/null +++ b/examples/example.overload.3.record_insert/vc6/example.overload.3.record_insert.dsp @@ -0,0 +1,379 @@ +# Microsoft Developer Studio Project File - Name="example.overload.3.record_insert" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.overload.3.record_insert - Win32 Debug +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.overload.3.record_insert.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.overload.3.record_insert.mak" CFG="example.overload.3.record_insert - Win32 Debug" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.overload.3.record_insert - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.record_insert - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.overload.3.record_insert - Win32 Release" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_WINDOWS" /YX /FD /c +# ADD CPP /nologo /W3 /GX /O2 /I "$(STLSOFT)/include" /I "$(FASTFORMAT_ROOT)/include" /D "WIN32" /D "NDEBUG" /D "STRICT" /D "_CONSOLE" /YX /FD /c +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 /nologo /subsystem:windows /machine:I386 +# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /version:1.0 /subsystem:console /map /machine:I386 /libpath:"$(FASTFORMAT_ROOT)/lib" +# SUBTRACT LINK32 /pdb:none + +!ELSEIF "$(CFG)" == "example.overload.3.record_insert - Win32 Debug" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_WINDOWS" /YX /FD /GZ /c +# ADD CPP /nologo /W3 /Gm /GX /Zi /Od /I "$(STLSOFT)/include" /I "$(FASTFORMAT_ROOT)/include" /D "WIN32" /D "_DEBUG" /D "STRICT" /D "_CONSOLE" /YX /FD /GZ /c +# ADD BASE MTL /nologo /D "_DEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "_DEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 /nologo /subsystem:windows /debug /machine:I386 /pdbtype:sept +# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /version:1.0 /subsystem:console /map /debug /machine:I386 /out:"Debug/example.overload.3.record_insert.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" +# SUBTRACT LINK32 /pdb:none + +!ENDIF + +# Begin Target + +# Name "example.overload.3.record_insert - Win32 Release" +# Name "example.overload.3.record_insert - Win32 Debug" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.overload.3.record_insert.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\static_initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# End Group +# Begin Group "Resource Files" + +# PROP Default_Filter "ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe" +# End Group +# End Target +# End Project diff --git a/examples/example.overload.3.server_log/implicit_link.cpp b/examples/example.overload.3.server_log/implicit_link.cpp index fd2591a..c1c6778 100644 --- a/examples/example.overload.3.server_log/implicit_link.cpp +++ b/examples/example.overload.3.server_log/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.overload.3.server_log project. * * Created: 30th April 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.overload.3.server_log/vc6/example.overload.3.server_log.dsp b/examples/example.overload.3.server_log/vc6/example.overload.3.server_log.dsp new file mode 100644 index 0000000..207bc1f --- /dev/null +++ b/examples/example.overload.3.server_log/vc6/example.overload.3.server_log.dsp @@ -0,0 +1,373 @@ +# Microsoft Developer Studio Project File - Name="example.overload.3.server_log" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.overload.3.server_log - Win32 Debug +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.overload.3.server_log.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.overload.3.server_log.mak" CFG="example.overload.3.server_log - Win32 Debug" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.overload.3.server_log - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.server_log - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.overload.3.server_log - Win32 Release" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_WINDOWS" /YX /FD /c +# ADD CPP /nologo /W3 /GX /O2 /I "$(STLSOFT)/include" /I "$(FASTFORMAT_ROOT)/include" /D "WIN32" /D "NDEBUG" /D "STRICT" /D "_CONSOLE" /YX /FD /c +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 /nologo /subsystem:windows /machine:I386 +# ADD LINK32 kernel32.lib user32.lib gdi32.lib ws2_32.lib /nologo /version:1.0 /subsystem:console /map /machine:I386 /libpath:"$(FASTFORMAT_ROOT)/lib" +# SUBTRACT LINK32 /pdb:none + +!ELSEIF "$(CFG)" == "example.overload.3.server_log - Win32 Debug" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_WINDOWS" /YX /FD /GZ /c +# ADD CPP /nologo /W3 /Gm /GX /Zi /Od /I "$(STLSOFT)/include" /I "$(FASTFORMAT_ROOT)/include" /D "WIN32" /D "_DEBUG" /D "STRICT" /D "_CONSOLE" /YX /FD /GZ /c +# ADD BASE MTL /nologo /D "_DEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "_DEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 /nologo /subsystem:windows /debug /machine:I386 /pdbtype:sept +# ADD LINK32 kernel32.lib user32.lib gdi32.lib ws2_32.lib /nologo /version:1.0 /subsystem:console /map /debug /machine:I386 /out:"Debug/example.overload.3.server_log.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" +# SUBTRACT LINK32 /pdb:none + +!ENDIF + +# Begin Target + +# Name "example.overload.3.server_log - Win32 Release" +# Name "example.overload.3.server_log - Win32 Debug" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.overload.3.server_log.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\static_initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# End Group +# Begin Group "Resource Files" + +# PROP Default_Filter "ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe" +# End Group +# End Target +# End Project diff --git a/examples/example.overload.3.tabulations/example.overload.3.tabulations.cpp b/examples/example.overload.3.tabulations/example.overload.3.tabulations.cpp index ef41b18..a501e25 100644 --- a/examples/example.overload.3.tabulations/example.overload.3.tabulations.cpp +++ b/examples/example.overload.3.tabulations/example.overload.3.tabulations.cpp @@ -5,13 +5,13 @@ * project. * * Created: 29th April 2009 - * Updated: 8th July 2009 + * Updated: 23rd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -30,7 +30,7 @@ char const* forenames[] = { - "Marc-François Michel" + "Marc-Francois Michel" , "Jean" }; diff --git a/examples/example.overload.3.tabulations/implicit_link.cpp b/examples/example.overload.3.tabulations/implicit_link.cpp index 9a4332d..87db82a 100644 --- a/examples/example.overload.3.tabulations/implicit_link.cpp +++ b/examples/example.overload.3.tabulations/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.overload.3.tabulations project. * * Created: 30th April 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.overload.3.tabulations/vc6/example.overload.3.tabulations.dsp b/examples/example.overload.3.tabulations/vc6/example.overload.3.tabulations.dsp new file mode 100644 index 0000000..4214f68 --- /dev/null +++ b/examples/example.overload.3.tabulations/vc6/example.overload.3.tabulations.dsp @@ -0,0 +1,371 @@ +# Microsoft Developer Studio Project File - Name="example.overload.3.tabulations" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.overload.3.tabulations - Win32 Debug +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.overload.3.tabulations.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.overload.3.tabulations.mak" CFG="example.overload.3.tabulations - Win32 Debug" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.overload.3.tabulations - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.overload.3.tabulations - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.overload.3.tabulations - Win32 Release" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_WINDOWS" /YX /FD /c +# ADD CPP /nologo /W3 /GX /O2 /I "$(STLSOFT)/include" /I "$(FASTFORMAT_ROOT)/include" /D "WIN32" /D "NDEBUG" /D "STRICT" /D "_CONSOLE" /YX /FD /c +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 /nologo /subsystem:windows /machine:I386 +# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /version:1.0 /subsystem:console /map /machine:I386 /libpath:"$(FASTFORMAT_ROOT)/lib" +# SUBTRACT LINK32 /pdb:none + +!ELSEIF "$(CFG)" == "example.overload.3.tabulations - Win32 Debug" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_WINDOWS" /YX /FD /GZ /c +# ADD CPP /nologo /W3 /Gm /GX /Zi /Od /I "$(STLSOFT)/include" /I "$(FASTFORMAT_ROOT)/include" /D "WIN32" /D "_DEBUG" /D "STRICT" /D "_CONSOLE" /YX /FD /GZ /c +# ADD BASE MTL /nologo /D "_DEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "_DEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 /nologo /subsystem:windows /debug /machine:I386 /pdbtype:sept +# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /version:1.0 /subsystem:console /map /debug /machine:I386 /out:"Debug/example.overload.3.tabulations.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" +# SUBTRACT LINK32 /pdb:none + +!ENDIF + +# Begin Target + +# Name "example.overload.3.tabulations - Win32 Release" +# Name "example.overload.3.tabulations - Win32 Debug" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.overload.3.tabulations.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\static_initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# End Group +# Begin Group "Resource Files" + +# PROP Default_Filter "ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe" +# End Group +# End Target +# End Project diff --git a/examples/example.sink.OutputDebugString/example.sink.OutputDebugString.cpp b/examples/example.sink.OutputDebugString/example.sink.OutputDebugString.cpp index 6537907..17aacea 100644 --- a/examples/example.sink.OutputDebugString/example.sink.OutputDebugString.cpp +++ b/examples/example.sink.OutputDebugString/example.sink.OutputDebugString.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.sink.OutputDebugString project. * * Created: 19th April 2009 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -24,18 +24,18 @@ * Includes */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/examples/example.sink.OutputDebugString/implicit_link.cpp b/examples/example.sink.OutputDebugString/implicit_link.cpp index 847d79e..b4edbb3 100644 --- a/examples/example.sink.OutputDebugString/implicit_link.cpp +++ b/examples/example.sink.OutputDebugString/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.sinks.OutputDebugString project. * * Created: 19th April 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.sink.OutputDebugString/vc6/example.sink.OutputDebugString.dsp b/examples/example.sink.OutputDebugString/vc6/example.sink.OutputDebugString.dsp new file mode 100644 index 0000000..4ee8f7d --- /dev/null +++ b/examples/example.sink.OutputDebugString/vc6/example.sink.OutputDebugString.dsp @@ -0,0 +1,671 @@ +# Microsoft Developer Studio Project File - Name="example.sink.OutputDebugString" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.sink.OutputDebugString - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.sink.OutputDebugString.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.sink.OutputDebugString.mak" CFG="example.sink.OutputDebugString - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.sink.OutputDebugString - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.OutputDebugString - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.OutputDebugString - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.OutputDebugString - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.OutputDebugString - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.OutputDebugString - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.OutputDebugString - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.OutputDebugString - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.OutputDebugString - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.OutputDebugString - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.OutputDebugString - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.OutputDebugString - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.sink.OutputDebugString - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.OutputDebugString - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.OutputDebugString - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.OutputDebugString - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.OutputDebugString - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.OutputDebugString - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.OutputDebugString - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.OutputDebugString - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.OutputDebugString - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.OutputDebugString - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.OutputDebugString - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.OutputDebugString - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.OutputDebugString.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.sink.OutputDebugString - Win32 Release" +# Name "example.sink.OutputDebugString - Win32 Debug" +# Name "example.sink.OutputDebugString - Win32 Release Multithreaded" +# Name "example.sink.OutputDebugString - Win32 Debug Multithreaded" +# Name "example.sink.OutputDebugString - Win32 Release Multithreaded DLL" +# Name "example.sink.OutputDebugString - Win32 Debug Multithreaded DLL" +# Name "example.sink.OutputDebugString - Win32 Unicode Release" +# Name "example.sink.OutputDebugString - Win32 Unicode Debug" +# Name "example.sink.OutputDebugString - Win32 Unicode Release Multithreaded" +# Name "example.sink.OutputDebugString - Win32 Unicode Debug Multithreaded" +# Name "example.sink.OutputDebugString - Win32 Unicode Release Multithreaded DLL" +# Name "example.sink.OutputDebugString - Win32 Unicode Debug Multithreaded DLL" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.sink.OutputDebugString.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\OutputDebugString.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/example.sink.c_string/example.sink.c_string.cpp b/examples/example.sink.c_string/example.sink.c_string.cpp index 908f4c8..e933418 100644 --- a/examples/example.sink.c_string/example.sink.c_string.cpp +++ b/examples/example.sink.c_string/example.sink.c_string.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the example.sink.c_string project. * * Created: 17th May 2009 - * Updated: 11th December 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009-2010, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -24,18 +24,18 @@ * Includes */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/examples/example.sink.c_string/implicit_link.cpp b/examples/example.sink.c_string/implicit_link.cpp index 4e8f1d8..eb59ee3 100644 --- a/examples/example.sink.c_string/implicit_link.cpp +++ b/examples/example.sink.c_string/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the example.sink.c_string project. * * Created: 17th May 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/examples/example.sink.c_string/vc6/example.sink.c_string.dsp b/examples/example.sink.c_string/vc6/example.sink.c_string.dsp new file mode 100644 index 0000000..9bfdc40 --- /dev/null +++ b/examples/example.sink.c_string/vc6/example.sink.c_string.dsp @@ -0,0 +1,675 @@ +# Microsoft Developer Studio Project File - Name="example.sink.c_string" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=example.sink.c_string - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "example.sink.c_string.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "example.sink.c_string.mak" CFG="example.sink.c_string - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "example.sink.c_string - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.c_string - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.c_string - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.c_string - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.c_string - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.c_string - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.c_string - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.c_string - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.c_string - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.c_string - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.c_string - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "example.sink.c_string - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "example.sink.c_string - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.c_string - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.c_string - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.c_string - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.c_string - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.c_string - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.c_string - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.c_string - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.c_string - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.mt.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.c_string - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.c_string - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.dll.exe" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "example.sink.c_string - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../bin/example.sink.c_string.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "example.sink.c_string - Win32 Release" +# Name "example.sink.c_string - Win32 Debug" +# Name "example.sink.c_string - Win32 Release Multithreaded" +# Name "example.sink.c_string - Win32 Debug Multithreaded" +# Name "example.sink.c_string - Win32 Release Multithreaded DLL" +# Name "example.sink.c_string - Win32 Debug Multithreaded DLL" +# Name "example.sink.c_string - Win32 Unicode Release" +# Name "example.sink.c_string - Win32 Unicode Debug" +# Name "example.sink.c_string - Win32 Unicode Release Multithreaded" +# Name "example.sink.c_string - Win32 Unicode Debug Multithreaded" +# Name "example.sink.c_string - Win32 Unicode Release Multithreaded DLL" +# Name "example.sink.c_string - Win32 Unicode Debug Multithreaded DLL" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\example.sink.c_string.cpp +# End Source File +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\OutputDebugString.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/examples/fastformat.examples.vc6.dsw b/examples/fastformat.examples.vc6.dsw new file mode 100644 index 0000000..ad008f5 --- /dev/null +++ b/examples/fastformat.examples.vc6.dsw @@ -0,0 +1,359 @@ +Microsoft Developer Studio Workspace File, Format Version 6.00 +# WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE! + +############################################################################### + +Project: "example.arguments.boolean"=.\example.arguments.boolean\vc6\example.arguments.boolean.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.arguments.character"=.\example.arguments.character\vc6\example.arguments.character.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.arguments.reals"=.\example.arguments.reals\vc6\example.arguments.reals.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.arguments.void_pointers"=.\example.arguments.void_pointers\vc6\example.arguments.void_pointers.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.ff_101"=.\example.ff_101\vc6\example.ff_101.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.ff_101.no_namespace"=.\example.ff_101.no_namespace\vc6\example.ff_101.no_namespace.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.flush"=.\example.flush\vc6\example.flush.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.inserter.hex"=.\example.inserter.hex\vc6\example.inserter.hex.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.inserter.integers"=.\example.inserter.integers\vc6\example.inserter.integers.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.inserter.integers.WindowsLargeIntegers"=.\example.inserter.integers.WindowsLargeIntegers\vc6\example.inserter.integers.WindowsLargeIntegers.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.inserter.reals"=.\example.inserter.reals\vc6\example.inserter.reals.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.iterators.format_iterator"=.\example.iterators.format_iterator\vc6\example.iterators.format_iterator.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.memory.1"=.\example.memory.1\vc6\example.memory.1.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.overload.2.custom_type.filter_type"=.\example.overload.2.custom_type.filter_type\vc6\example.overload.2.custom_type.filter_type.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.overload.2.custom_type.inserter"=.\example.overload.2.custom_type.inserter\vc6\example.overload.2.custom_type.inserter.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.overload.2.custom_type.string_access_shims"=.\example.overload.2.custom_type.string_access_shims\vc6\example.overload.2.custom_type.string_access_shims.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.overload.3.floatingpoint_columns"=.\example.overload.3.floatingpoint_columns\vc6\example.overload.3.floatingpoint_columns.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.overload.3.record_insert"=.\example.overload.3.record_insert\vc6\example.overload.3.record_insert.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.overload.3.server_log"=.\example.overload.3.server_log\vc6\example.overload.3.server_log.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.overload.3.tabulations"=.\example.overload.3.tabulations\vc6\example.overload.3.tabulations.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.sink.OutputDebugString"=.\example.sink.OutputDebugString\vc6\example.sink.OutputDebugString.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "example.sink.c_string"=.\example.sink.c_string\vc6\example.sink.c_string.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "fastformat.core"=..\projects\core\vc6\fastformat.core.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ +}}} + +############################################################################### + +Global: + +Package=<5> +{{{ +}}} + +Package=<3> +{{{ +}}} + +############################################################################### + diff --git a/include/fastformat/bundles/openrj_bundle.hpp b/include/fastformat/bundles/openrj_bundle.hpp index 7b49ab4..c70d28b 100644 --- a/include/fastformat/bundles/openrj_bundle.hpp +++ b/include/fastformat/bundles/openrj_bundle.hpp @@ -70,18 +70,18 @@ * Includes */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include //#include -/* Open-RJ Header Files */ +/* Open-RJ header files */ #include // Open-RJ C API #include // Open-RJ C++ API -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* C++ Standard Header Files */ +/* C++ Standard header files */ #include /* ///////////////////////////////////////////////////////////////////////// diff --git a/include/fastformat/bundles/properties_bundle.hpp b/include/fastformat/bundles/properties_bundle.hpp index 1370dd3..42571d6 100644 --- a/include/fastformat/bundles/properties_bundle.hpp +++ b/include/fastformat/bundles/properties_bundle.hpp @@ -70,11 +70,11 @@ * Includes - 1 */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include //#include -/* STLSoft Header Files */ +/* STLSoft header files */ #include /* ///////////////////////////////////////////////////////////////////////// @@ -91,7 +91,7 @@ * Includes - 2 */ -/* STLSoft Header Files */ +/* STLSoft header files */ #ifdef FASTFORMAT_PROPERTIES_BUNDLE_USE_STLSOFT_1_10_PROPERTIES_FILE # include #endif /* FASTFORMAT_PROPERTIES_BUNDLE_USE_STLSOFT_1_10_PROPERTIES_FILE */ @@ -100,7 +100,7 @@ #include #include -/* C++ Standard Header Files */ +/* C++ Standard header files */ #include #include #include diff --git a/include/fastformat/bundles/windows_resource_bundle.hpp b/include/fastformat/bundles/windows_resource_bundle.hpp index a6e713b..0e8444f 100644 --- a/include/fastformat/bundles/windows_resource_bundle.hpp +++ b/include/fastformat/bundles/windows_resource_bundle.hpp @@ -70,22 +70,22 @@ * Includes */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include -/* WinSTL Header Files */ +/* WinSTL header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include #include #include -/* C++ Standard Header Files */ +/* C++ Standard header files */ #include #include diff --git a/include/fastformat/bundles/winini_bundle.hpp b/include/fastformat/bundles/winini_bundle.hpp index 1b45c05..88af003 100644 --- a/include/fastformat/bundles/winini_bundle.hpp +++ b/include/fastformat/bundles/winini_bundle.hpp @@ -70,17 +70,17 @@ * Includes */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* WinSTL Header Files */ +/* WinSTL header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* C++ Standard Header Files */ +/* C++ Standard header files */ #include #include diff --git a/include/fastformat/exceptions.hpp b/include/fastformat/exceptions.hpp index 7ebecec..8e2bdad 100644 --- a/include/fastformat/exceptions.hpp +++ b/include/fastformat/exceptions.hpp @@ -4,11 +4,11 @@ * Purpose: FastFormat API exception classes. * * Created: 23rd October 2008 - * Updated: 7th February 2012 + * Updated: 28th October 2013 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2008-2012, Matthew Wilson and Synesis Software + * Copyright (c) 2008-2013, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -55,7 +55,7 @@ # define FASTFORMAT_VER_FASTFORMAT_HPP_EXCEPTIONS_MAJOR 1 # define FASTFORMAT_VER_FASTFORMAT_HPP_EXCEPTIONS_MINOR 2 # define FASTFORMAT_VER_FASTFORMAT_HPP_EXCEPTIONS_REVISION 2 -# define FASTFORMAT_VER_FASTFORMAT_HPP_EXCEPTIONS_EDIT 10 +# define FASTFORMAT_VER_FASTFORMAT_HPP_EXCEPTIONS_EDIT 12 #endif /* !FASTFORMAT_DOCUMENTATION_SKIP_SECTION */ /* ///////////////////////////////////////////////////////////////////////// @@ -97,29 +97,32 @@ namespace fastformat #if 0 + /* Taxonomy: */ + [std::runtime_error] - fastformat_exception - | - + - bundle_exception - | - + - format_parsing_exception - | | - | + - illformed_format_exception - | - + - mismatched_replacements_exception - | | - | + - missing_argument_exception - | | - | + - unreferenced_argument_exception - | - + - sink_exception - | - + - sink_output_exception - | - . - . - . - . . . sink-specific exception classes + | + + - fastformat_exception + | + + - bundle_exception + | + + - format_parsing_exception + | | + | + - illformed_format_exception + | + + - mismatched_replacements_exception + | | + | + - missing_argument_exception + | | + | + - unreferenced_argument_exception + | + + - sink_exception + | + + - sink_output_exception + | + . + . + . + . . . sink-specific exception classes #endif diff --git a/include/fastformat/fastformat.h b/include/fastformat/fastformat.h index 64c280b..3344a19 100644 --- a/include/fastformat/fastformat.h +++ b/include/fastformat/fastformat.h @@ -4,11 +4,11 @@ * Purpose: FastFormat Core API. * * Created: 18th September 2006 - * Updated: 17th August 2012 + * Updated: 25th September 2015 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2006-2012, Matthew Wilson and Synesis Software + * Copyright (c) 2006-2015, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -53,9 +53,9 @@ #ifndef FASTFORMAT_DOCUMENTATION_SKIP_SECTION # define FASTFORMAT_VER_FASTFORMAT_H_FASTFORMAT_MAJOR 1 -# define FASTFORMAT_VER_FASTFORMAT_H_FASTFORMAT_MINOR 20 -# define FASTFORMAT_VER_FASTFORMAT_H_FASTFORMAT_REVISION 11 -# define FASTFORMAT_VER_FASTFORMAT_H_FASTFORMAT_EDIT 95 +# define FASTFORMAT_VER_FASTFORMAT_H_FASTFORMAT_MINOR 21 +# define FASTFORMAT_VER_FASTFORMAT_H_FASTFORMAT_REVISION 2 +# define FASTFORMAT_VER_FASTFORMAT_H_FASTFORMAT_EDIT 99 #endif /* !FASTFORMAT_DOCUMENTATION_SKIP_SECTION */ /** \def FASTFORMAT_VER_MAJOR @@ -88,13 +88,14 @@ # define FASTFORMAT_VER_0_7_1_ALPHA_7 0x00070107 # define FASTFORMAT_VER_0_7_1_ALPHA_8 0x00070108 # define FASTFORMAT_VER_0_7_1_ALPHA_9 0x00070109 +# define FASTFORMAT_VER_0_7_1_ALPHA_10 0x0007010a #endif /* !FASTFORMAT_DOCUMENTATION_SKIP_SECTION */ #define FASTFORMAT_VER_MAJOR 0 #define FASTFORMAT_VER_MINOR 7 #define FASTFORMAT_VER_REVISION 1 -#define FASTFORMAT_VER FASTFORMAT_VER_0_7_1_ALPHA_9 +#define FASTFORMAT_VER FASTFORMAT_VER_0_7_1_ALPHA_10 /* ///////////////////////////////////////////////////////////////////////// * Includes - 1 @@ -282,7 +283,7 @@ typedef ff_format_element_t format_element_t; */ enum ff_parse_code_t { - FF_PARSECODE_SUCCESS = 0 /*!< The parsing is . */ + FF_PARSECODE_SUCCESS = 0 /*!< The parsing detects no format errors. */ , FF_PARSECODE_INCOMPLETEREPLACEMENT = 1 /*!< The replacement is not complete; the terminating '}' is missing. */ , FF_PARSECODE_INVALIDINDEX = 2 /*!< The replacement is empty, or contains invalid symbols. */ }; @@ -327,6 +328,7 @@ typedef ff_replacement_code_t replacement_code_t; * * \param param The parameter specified, along with the function pointer, to * fastformat_parseFormat() + * \param code One of the enumerators of ff_parse_code_t * \param format Pointer to the format string being parsed. It may not be * NULL, but the string it points to does not have to be * nul-terminated. @@ -373,9 +375,9 @@ typedef int (FASTFORMAT_CALLCONV* fastformat_illformedHandler_t)( typedef fastformat_illformedHandler_t illformedHandler_t; #endif /* !FASTFORMAT_NO_NAMESPACE */ -/** Structure returned from fastformat_getProcessIllformedHandler(), +/** Structure returned from fastformat_getProcessIllformedHandler(), * fastformat_setProcessIllformedHandler(), - * fastformat_getThreadIllformedHandler(), and + * fastformat_getThreadIllformedHandler(), and * fastformat_setThreadIllformedHandler(). * * \ingroup group__format_specification_defect_handling @@ -406,8 +408,8 @@ typedef ff_illformed_handler_info_t illformed_handler_info_t; * the replacement form - the return value is >0 * 4. It can request that the current and all subsequent invalid replacement * parameters be replaced with the value contained in - * *slice, to which it will write the replacement form - - * the return value is <0 + * *slice, to which it will write the replacement form - + * the return value is <0 * * In cases 3 and 4, the function implementation is responsible for ensuring * that the contents of *slice remain valid until it is next @@ -423,6 +425,8 @@ typedef ff_illformed_handler_info_t illformed_handler_info_t; * freed. * * \param param The caller-supplied parameter passed to fastformat_fillReplacements() + * \param code One of the enumerators of ff_replacement_code_t. May be + * FF_REPLACEMENTCODE_SUCCESS (0), which ; may be 0 (success) * \param numParameters The number of parameters * \param parameterIndex The mismatched parameter index * \param slice A pointer to a slice to receive any replacement string for @@ -456,9 +460,9 @@ typedef int (FASTFORMAT_CALLCONV* fastformat_mismatchedHandler_t)( typedef fastformat_mismatchedHandler_t mismatchedHandler_t; #endif /* !FASTFORMAT_NO_NAMESPACE */ -/** Structure returned from fastformat_getProcessMismatchedHandler(), +/** Structure returned from fastformat_getProcessMismatchedHandler(), * fastformat_setProcessMismatchedHandler(), - * fastformat_getThreadMismatchedHandler(), and + * fastformat_getThreadMismatchedHandler(), and * fastformat_setThreadMismatchedHandler(). * * \ingroup group__format_specification_defect_handling @@ -501,7 +505,8 @@ typedef ff_mismatched_handler_info_t mismatched_handler_info_t; * FASTFORMAT_NO_AUTO_INIT by the definition of the symbol * FASTFORMAT_FORCE_AUTO_INIT. */ -FASTFORMAT_CALL(int) fastformat_init(void); +FASTFORMAT_CALL(int) +fastformat_init(void); /** Uninitialises the FastFormat library. * @@ -522,10 +527,12 @@ FASTFORMAT_CALL(int) fastformat_init(void); * FASTFORMAT_NO_AUTO_INIT by the definition of the symbol * FASTFORMAT_FORCE_AUTO_INIT. */ -FASTFORMAT_CALL(void) fastformat_uninit(void); +FASTFORMAT_CALL(void) +fastformat_uninit(void); #ifndef FASTFORMAT_DOCUMENTATION_SKIP_SECTION -FASTFORMAT_CALL(void) fastformat_exitProcess(int code); +FASTFORMAT_CALL(void) +fastformat_exitProcess(int code); #endif /* !FASTFORMAT_DOCUMENTATION_SKIP_SECTION */ /** Returns a constant pointer to a non-NULL non-modifiable nul-terminated string @@ -534,10 +541,11 @@ FASTFORMAT_CALL(void) fastformat_exitProcess(int code); * \ingroup group__init * * \param code The initialisation code whose string explanation is to be - * returned. If the code is not recognised, the empty + * returned. If the code is not recognised, the empty * string ("") will be returned. */ -FASTFORMAT_CALL(ff_char_t const*) fastformat_getInitCodeString(int code); +FASTFORMAT_CALL(ff_char_t const*) +fastformat_getInitCodeString(int code); /** Returns the length of the string returned by fastformat_getInitCodeString(). * @@ -546,14 +554,17 @@ FASTFORMAT_CALL(ff_char_t const*) fastformat_getInitCodeString(int code); * \param code The initialisation code whose string equivalent is to be * returned. If the code is not recognised, 0 will be returned. */ -FASTFORMAT_CALL(size_t) fastformat_getInitCodeStringLength(int code); +FASTFORMAT_CALL(size_t) +fastformat_getInitCodeStringLength(int code); #ifndef FASTFORMAT_NO_NAMESPACE /** Equivalent to \ref fastformat::fastformat_init "fastformat_init()". * * \ingroup group__init */ -inline int init() +inline +int +init() { return fastformat_init(); } @@ -562,7 +573,9 @@ inline int init() * * \ingroup group__init */ -inline void uninit() +inline +void +uninit() { fastformat_uninit(); } @@ -571,7 +584,9 @@ inline void uninit() * * \ingroup group__init */ -inline ff_char_t const* getInitCodeString(int code) +inline +ff_char_t const* +getInitCodeString(int code) { return fastformat_getInitCodeString(code); } @@ -580,23 +595,26 @@ inline ff_char_t const* getInitCodeString(int code) * * \ingroup group__init */ -inline size_t getInitCodeStringLength(int code) +inline +size_t +getInitCodeStringLength(int code) { return fastformat_getInitCodeStringLength(code); } #endif /* !FASTFORMAT_NO_NAMESPACE */ /* ///////////////////////////////////////////////////////////////////////// - * Configuration Functions + * Failure-handling Functions */ /** Gets the ill-formed format handler for the calling process * * \ingroup group__format_specification_defect_handling * - * \return The previous handler for the process + * \return The handler for the process */ -FASTFORMAT_CALL(ff_illformed_handler_info_t) fastformat_getProcessIllformedHandler(void); +FASTFORMAT_CALL(ff_illformed_handler_info_t) +fastformat_getProcessIllformedHandler(void); /** Sets the ill-formed format handler for the calling process * @@ -607,15 +625,17 @@ FASTFORMAT_CALL(ff_illformed_handler_info_t) fastformat_getProcessIllformedHandl * * \return The previous handler for the process */ -FASTFORMAT_CALL(ff_illformed_handler_info_t) fastformat_setProcessIllformedHandler(fastformat_illformedHandler_t handler, void* param); +FASTFORMAT_CALL(ff_illformed_handler_info_t) +fastformat_setProcessIllformedHandler(fastformat_illformedHandler_t handler, void* param); /** Gets the ill-formed format handler for the calling thread * * \ingroup group__format_specification_defect_handling * - * \return The previous handler for the thread + * \return The handler for the thread */ -FASTFORMAT_CALL(ff_illformed_handler_info_t) fastformat_getThreadIllformedHandler(void); +FASTFORMAT_CALL(ff_illformed_handler_info_t) +fastformat_getThreadIllformedHandler(void); /** Sets the ill-formed format handler for the calling thread * @@ -626,16 +646,27 @@ FASTFORMAT_CALL(ff_illformed_handler_info_t) fastformat_getThreadIllformedHandle * * \return The previous handler for the thread */ -FASTFORMAT_CALL(ff_illformed_handler_info_t) fastformat_setThreadIllformedHandler(fastformat_illformedHandler_t handler, void* param); +FASTFORMAT_CALL(ff_illformed_handler_info_t) +fastformat_setThreadIllformedHandler(fastformat_illformedHandler_t handler, void* param); + +/** Gets the default ill-formed format handler + * + * \ingroup group__format_specification_defect_handling + * + * \return The default handler + */ +FASTFORMAT_CALL(ff_illformed_handler_info_t) +fastformat_getDefaultIllformedHandler(void); /** Gets the mismatched parameter handler for the calling process * * \ingroup group__format_specification_defect_handling * - * \return The previous handler for the process + * \return The handler for the process */ -FASTFORMAT_CALL(ff_mismatched_handler_info_t) fastformat_getProcessMismatchedHandler(void); +FASTFORMAT_CALL(ff_mismatched_handler_info_t) +fastformat_getProcessMismatchedHandler(void); /** Sets the mismatched parameter handler for the calling process * @@ -646,15 +677,17 @@ FASTFORMAT_CALL(ff_mismatched_handler_info_t) fastformat_getProcessMismatchedHan * * \return The previous handler for the process */ -FASTFORMAT_CALL(ff_mismatched_handler_info_t) fastformat_setProcessMismatchedHandler(fastformat_mismatchedHandler_t handler, void* param); +FASTFORMAT_CALL(ff_mismatched_handler_info_t) +fastformat_setProcessMismatchedHandler(fastformat_mismatchedHandler_t handler, void* param); /** Gets the mismatched parameter handler for the calling thread * * \ingroup group__format_specification_defect_handling * - * \return The previous handler for the thread + * \return The handler for the thread */ -FASTFORMAT_CALL(ff_mismatched_handler_info_t) fastformat_getThreadMismatchedHandler(void); +FASTFORMAT_CALL(ff_mismatched_handler_info_t) +fastformat_getThreadMismatchedHandler(void); /** Sets the mismatched parameter handler for the calling thread * @@ -665,7 +698,17 @@ FASTFORMAT_CALL(ff_mismatched_handler_info_t) fastformat_getThreadMismatchedHand * * \return The previous handler for the thread */ -FASTFORMAT_CALL(ff_mismatched_handler_info_t) fastformat_setThreadMismatchedHandler(fastformat_mismatchedHandler_t handler, void* param); +FASTFORMAT_CALL(ff_mismatched_handler_info_t) +fastformat_setThreadMismatchedHandler(fastformat_mismatchedHandler_t handler, void* param); + +/** Gets the default mismatched parameter handler + * + * \ingroup group__format_specification_defect_handling + * + * \return The default handler + */ +FASTFORMAT_CALL(ff_mismatched_handler_info_t) +fastformat_getDefaultMismatchedHandler(void); /* ///////////////////////////////////////////////////////////////////////// * API Parsing Functions @@ -683,7 +726,8 @@ FASTFORMAT_CALL(ff_mismatched_handler_info_t) fastformat_setThreadMismatchedHand * * \pre (0 == cchFormat || NULL != format) */ -FASTFORMAT_CALL(size_t) fastformat_calculateNumberOfRequiredReplacements( +FASTFORMAT_CALL(size_t) +fastformat_calculateNumberOfRequiredReplacements( ff_char_t const* format , size_t cchFormat ); @@ -713,7 +757,8 @@ FASTFORMAT_CALL(size_t) fastformat_calculateNumberOfRequiredReplacements( * \return Information describing the number of elements written/required */ -FASTFORMAT_CALL(unsigned) fastformat_parseFormat( +FASTFORMAT_CALL(unsigned) +fastformat_parseFormat( ff_char_t const* format , size_t cchFormat , ff_format_element_t* formatElements @@ -723,28 +768,39 @@ FASTFORMAT_CALL(unsigned) fastformat_parseFormat( ); /** Takes a number of pattern and replacement elements and populates an - * array of result elements + * array of result elements. * * \ingroup group__core_library * - * \return The total number of characters required in the resultant string - */ -FASTFORMAT_CALL(size_t) fastformat_fillReplacements( - ff_string_slice_t* resultElements /* +#include #ifndef FASTFORMAT_INCL_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_MISMATCHED_ARGUMENTS_SCOPE_BASE # include #endif /* !FASTFORMAT_INCL_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_MISMATCHED_ARGUMENTS_SCOPE_BASE */ @@ -112,7 +113,6 @@ class ignore_missing_arguments_scope * passes all others to the previously-registered handler */ ignore_missing_arguments_scope() - : parent_class_type(class_type::handler, get_this_()) {} /** Restores the thread/process mismatched handler to the function * registered prior to the construction of this instance @@ -126,15 +126,9 @@ class ignore_missing_arguments_scope ignore_missing_arguments_scope(class_type const&); class_type& operator =(class_type const&); -private: // Implementation - void* get_this_() throw() - { - return this; - } - - static int FASTFORMAT_CALLCONV handler( - void* param - , ff_replacement_code_t code +private: // Overrides + virtual int handle( + ff_replacement_code_t code , size_t numParameters , int parameterIndex , ff_string_slice_t* slice @@ -143,16 +137,22 @@ class ignore_missing_arguments_scope , void* reserved2 ) { - class_type* pThis = static_cast(param); - if(FF_REPLACEMENTCODE_MISSING_ARGUMENT == code) { return +1; // Ignore unreferenced arguments } - else + + if(NULL != m_previous.handler) + { + return (*m_previous.handler)(m_previous.param, code, numParameters, parameterIndex, slice, reserved0, reserved1, reserved2); + } + + if(FF_REPLACEMENTCODE_MISSING_ARGUMENT == code) { - return pThis->parent_class_type::handle_default(param, code, numParameters, parameterIndex, slice, reserved0, reserved1, reserved2); + throw missing_argument_exception("a required argument is missing from the argument list", code, int(numParameters), parameterIndex); } + + return 0; } }; diff --git a/include/fastformat/format/specification_defect_handling/ignore_unreferenced_arguments_scope.hpp b/include/fastformat/format/specification_defect_handling/ignore_unreferenced_arguments_scope.hpp index a2c5a36..5ff5986 100644 --- a/include/fastformat/format/specification_defect_handling/ignore_unreferenced_arguments_scope.hpp +++ b/include/fastformat/format/specification_defect_handling/ignore_unreferenced_arguments_scope.hpp @@ -5,11 +5,11 @@ * unreferenced arguments. * * Created: 1st December 2008 - * Updated: 13th September 2010 + * Updated: 7th August 2015 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2008-2010, Matthew Wilson and Synesis Software + * Copyright (c) 2008-2015, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -55,9 +55,9 @@ #ifndef FASTFORMAT_DOCUMENTATION_SKIP_SECTION # define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_IGNORE_UNREFERENCED_ARGUMENTS_SCOPE_MAJOR 1 -# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_IGNORE_UNREFERENCED_ARGUMENTS_SCOPE_MINOR 1 -# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_IGNORE_UNREFERENCED_ARGUMENTS_SCOPE_REVISION 1 -# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_IGNORE_UNREFERENCED_ARGUMENTS_SCOPE_EDIT 4 +# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_IGNORE_UNREFERENCED_ARGUMENTS_SCOPE_MINOR 2 +# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_IGNORE_UNREFERENCED_ARGUMENTS_SCOPE_REVISION 3 +# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_IGNORE_UNREFERENCED_ARGUMENTS_SCOPE_EDIT 8 #endif /* !FASTFORMAT_DOCUMENTATION_SKIP_SECTION */ /* ///////////////////////////////////////////////////////////////////////// @@ -73,6 +73,7 @@ */ #include +#include #ifndef FASTFORMAT_INCL_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_MISMATCHED_ARGUMENTS_SCOPE_BASE # include #endif /* !FASTFORMAT_INCL_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_MISMATCHED_ARGUMENTS_SCOPE_BASE */ @@ -108,16 +109,29 @@ class ignore_unreferenced_arguments_scope public: // Construction /** Causes the thread/process mismatched handler to be set to a function * that ignores the - * FF_REPLACEMENTCODE_UNREFERENCED_ARGUMENT code and + * FF_REPLACEMENTCODE_UNREFERENCED_ARGUMENT code + * for all parameter indexes and * passes all others to the previously-registered handler */ ignore_unreferenced_arguments_scope() - : parent_class_type(class_type::handler, get_this_()) + : m_lowestIndexToIgnore(0) + {} + /** Causes the thread/process mismatched handler to be set to a function + * that ignores the + * FF_REPLACEMENTCODE_UNREFERENCED_ARGUMENT code + * for all parameter indexes equal or subsequent to the given index and + * passes all others to the previously-registered handler. + * + * \param lowestIndexToIgnore The index at/above which all unreferenced + * argument indexes are ignored. + */ + explicit ignore_unreferenced_arguments_scope(unsigned lowestIndexToIgnore) + : m_lowestIndexToIgnore(lowestIndexToIgnore) {} /** Restores the thread/process mismatched handler to the function * registered prior to the construction of this instance * - * \warning The system behaviour is undefined if the thread/process + * \warning The system behaviour is undefined if the thread/process * mismatch handler is modified during the lifetime of this instance */ ~ignore_unreferenced_arguments_scope() throw() @@ -126,15 +140,9 @@ class ignore_unreferenced_arguments_scope ignore_unreferenced_arguments_scope(class_type const&); class_type& operator =(class_type const&); -private: // Implementation - void* get_this_() throw() - { - return this; - } - - static int FASTFORMAT_CALLCONV handler( - void* param - , ff_replacement_code_t code +private: // Overrides + virtual int handle( + ff_replacement_code_t code , size_t numParameters , int parameterIndex , ff_string_slice_t* slice @@ -143,17 +151,29 @@ class ignore_unreferenced_arguments_scope , void* reserved2 ) { - class_type* pThis = static_cast(param); - if(FF_REPLACEMENTCODE_UNREFERENCED_ARGUMENT == code) { - return +1; // Ignore unreferenced argument + if(int(m_lowestIndexToIgnore) <= parameterIndex) + { + return +1; // Ignore unreferenced argument + } + } + + if(NULL != m_previous.handler) + { + return (*m_previous.handler)(m_previous.param, code, numParameters, parameterIndex, slice, reserved0, reserved1, reserved2); } - else + + if(FF_REPLACEMENTCODE_UNREFERENCED_ARGUMENT == code) { - return pThis->parent_class_type::handle_default(param, code, numParameters, parameterIndex, slice, reserved0, reserved1, reserved2); + throw unreferenced_argument_exception("an argument was unreferenced in the format", code, int(numParameters), parameterIndex); } + + return 0; } + +private: // Fields + unsigned const m_lowestIndexToIgnore; }; /* ///////////////////////////////////////////////////////////////////////// diff --git a/include/fastformat/format/specification_defect_handling/mismatched_arguments_scope_base.hpp b/include/fastformat/format/specification_defect_handling/mismatched_arguments_scope_base.hpp index 2cd22a7..f1026e6 100644 --- a/include/fastformat/format/specification_defect_handling/mismatched_arguments_scope_base.hpp +++ b/include/fastformat/format/specification_defect_handling/mismatched_arguments_scope_base.hpp @@ -5,11 +5,11 @@ * in response to mismatched arguments. * * Created: 1st December 2008 - * Updated: 13th September 2010 + * Updated: 28th October 2013 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2008-2010, Matthew Wilson and Synesis Software + * Copyright (c) 2008-2013, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -55,9 +55,9 @@ #ifndef FASTFORMAT_DOCUMENTATION_SKIP_SECTION # define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_MISMATCHED_ARGUMENTS_SCOPE_BASE_MAJOR 1 -# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_MISMATCHED_ARGUMENTS_SCOPE_BASE_MINOR 0 -# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_MISMATCHED_ARGUMENTS_SCOPE_BASE_REVISION 1 -# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_MISMATCHED_ARGUMENTS_SCOPE_BASE_EDIT 6 +# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_MISMATCHED_ARGUMENTS_SCOPE_BASE_MINOR 1 +# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_MISMATCHED_ARGUMENTS_SCOPE_BASE_REVISION 2 +# define FASTFORMAT_VER_FASTFORMAT_FORMAT_SPECIFICATION_DEFECT_HANDLING_HPP_MISMATCHED_ARGUMENTS_SCOPE_BASE_EDIT 8 #endif /* !FASTFORMAT_DOCUMENTATION_SKIP_SECTION */ /* ///////////////////////////////////////////////////////////////////////// @@ -105,8 +105,8 @@ class mismatched_arguments_scope_base * FF_REPLACEMENTCODE_UNREFERENCED_ARGUMENT code and * passes all others to the previously-registered handler */ - explicit mismatched_arguments_scope_base(fastformat_mismatchedHandler_t handler, void* param) - : m_previous(fastformat_setThreadMismatchedHandler(handler, param)) + mismatched_arguments_scope_base() + : m_previous(fastformat_setThreadMismatchedHandler(&class_type::handler, get_this_())) {} public: /** Restores the thread/process mismatched handler to the function @@ -120,11 +120,41 @@ class mismatched_arguments_scope_base fastformat_setThreadMismatchedHandler(m_previous.handler, m_previous.param); } -protected: // Operations - /** Invokes +private: + mismatched_arguments_scope_base(class_type const&); + class_type& operator =(class_type const&); + +protected: // Overrides + + /** Override, to be implemented by derived class, that will be invoked + * when mismatched are encountered when processing a formatting + * statement. + * + * \param code The type of mismatch + * \param numParameters The number of arguments provided to the format + * statement + * \param parameterIndex The index of the parameter that is associated + * with the mismatch + * \param slice A slice that may be modified in handling the mismatch. */ - int handle_default( - void* /* param */ + virtual int handle( + ff_replacement_code_t code + , size_t numParameters + , int parameterIndex + , ff_string_slice_t* slice + , void* reserved0 + , size_t reserved1 + , void* reserved2 + ) = 0; + +private: // Implementation + void* get_this_() throw() + { + return this; + } + + static int FASTFORMAT_CALLCONV handler( + void* param , ff_replacement_code_t code , size_t numParameters , int parameterIndex @@ -134,22 +164,14 @@ class mismatched_arguments_scope_base , void* reserved2 ) { - if(NULL == m_previous.handler) - { - return +1; // Ignore unreferenced argument - } - else - { - return (m_previous.handler)(m_previous.param, code, numParameters, parameterIndex, slice, reserved0, reserved1, reserved2); - } - } + class_type* pThis = static_cast(param); -private: - mismatched_arguments_scope_base(class_type const&); - class_type& operator =(class_type const&); + return pThis->handle(code, numParameters, parameterIndex, slice, reserved0, reserved1, reserved2); + } -protected: // A (very) rare use case for protected member data, when using (private) implementation inheritance - mismatched_handler_info_t m_previous; //!< Previous handler, for chaining +protected: // Fields + // A (very) rare use case for protected member data, when using (private) implementation inheritance + mismatched_handler_info_t const m_previous; //!< Previous handler, for chaining }; /* ///////////////////////////////////////////////////////////////////////// diff --git a/include/fastformat/inserters/integer.hpp b/include/fastformat/inserters/integer.hpp index a28d0ef..f7e0725 100644 --- a/include/fastformat/inserters/integer.hpp +++ b/include/fastformat/inserters/integer.hpp @@ -4,11 +4,11 @@ * Purpose: Inserter functions for integral types * * Created: 26th May 2009 - * Updated: 31st July 2012 + * Updated: 7th August 2015 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2009-2012, Matthew Wilson and Synesis Software + * Copyright (c) 2009-2015, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -54,8 +54,8 @@ #ifndef FASTFORMAT_DOCUMENTATION_SKIP_SECTION # define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_INTEGER_MAJOR 1 # define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_INTEGER_MINOR 2 -# define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_INTEGER_REVISION 3 -# define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_INTEGER_EDIT 18 +# define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_INTEGER_REVISION 6 +# define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_INTEGER_EDIT 21 #endif /* !FASTFORMAT_DOCUMENTATION_SKIP_SECTION */ /* ///////////////////////////////////////////////////////////////////////// @@ -110,6 +110,13 @@ namespace inserters namespace ximpl_integer { +enum ff_i2s_case_selection_t +{ + FF_ximpl_REQUIRE_LOWERCASE = 0 + , FF_ximpl_REQUIRE_UPPERCASE = 1 +}; + + inline int default_width_sentinel_() { #if STLSOFT_LEAD_VER >= 0x010a0000 @@ -366,8 +373,8 @@ inline ff_to_i_r_t_ integer_helper_2( #ifndef STLSOFT_VER_STLSOFT_CONVERSION_INTEGER_TO_STRING_HPP_INTEGER_TO_HEXADECIMAL_STRING_MAJOR inline ff_to_i_r_t_ hex_integer_helper( - stlsoft::uint64_t value -, int uppercaseAlpha + stlsoft::uint64_t value +, ff_i2s_case_selection_t uppercaseAlpha ) { #if STLSOFT_LEAD_VER >= 0x010a0000 @@ -387,7 +394,7 @@ inline ff_to_i_r_t_ hex_integer_helper( #endif /* FASTFORMAT_USE_WIDE_STRINGS */ , value); - if(uppercaseAlpha) + if(FF_ximpl_REQUIRE_UPPERCASE == uppercaseAlpha) { typedef stlsoft::ctype_traits ctype_traits_t; @@ -398,8 +405,8 @@ inline ff_to_i_r_t_ hex_integer_helper( } inline ff_to_i_r_t_ hex_integer_helper( - stlsoft::sint64_t value -, int uppercaseAlpha + stlsoft::sint64_t value +, ff_i2s_case_selection_t uppercaseAlpha ) { return hex_integer_helper(static_cast(value), uppercaseAlpha); @@ -407,11 +414,11 @@ inline ff_to_i_r_t_ hex_integer_helper( template inline ff_to_i_r_t_ hex_integer_helper( - I const& value -, int uppercaseAlpha + I const& value +, ff_i2s_case_selection_t uppercaseAlpha ) { - ff_char_t fmt[3] = { '%', uppercaseAlpha ? 'X' : 'x', '\0' }; + ff_char_t fmt[3] = { '%', (FF_ximpl_REQUIRE_UPPERCASE == uppercaseAlpha) ? 'X' : 'x', '\0' }; ff_char_t result[17]; int n = fastformat_util_snprintf(&result[0], STLSOFT_NUM_ELEMENTS(result), fmt, value); @@ -421,11 +428,11 @@ inline ff_to_i_r_t_ hex_integer_helper( template inline ff_to_i_r_t_ integer_helper_5( - I const& value -, int minimumWidth -, int precision -, int base -, int uppercaseAlpha + I const& value +, int minimumWidth +, int precision +, int base +, ff_i2s_case_selection_t uppercaseAlpha ) { #if STLSOFT_LEAD_VER >= 0x010a0000 @@ -460,7 +467,7 @@ inline ff_to_i_r_t_ integer_helper_5( size_t n; ff_char_t const* s = stlsoft::integer_to_hexadecimal_string(&sz[0], STLSOFT_NUM_ELEMENTS(sz), value, &n); - if(uppercaseAlpha) + if(FF_ximpl_REQUIRE_UPPERCASE == uppercaseAlpha) { typedef stlsoft::ctype_traits ctype_traits_t; @@ -538,7 +545,7 @@ inline ff_to_i_r_t_ integer_helper_5( // 5. type ::memcpy(end - (typeLen + 1), type, sizeof(ff_char_t) * typeLen); - if(uppercaseAlpha) + if(FF_ximpl_REQUIRE_UPPERCASE == uppercaseAlpha) { // Make only the first (should be only) 'x' uppercase make_x_upper_(end - (typeLen + 1), end - (typeLen + 1) + typeLen); @@ -573,15 +580,15 @@ inline ff_to_i_r_t_ integer_helper_3( , int precision ) { - return integer_helper_5(value, minimumWidth, precision, 10, false); + return integer_helper_5(value, minimumWidth, precision, 10, FF_ximpl_REQUIRE_LOWERCASE); } template inline ff_to_i_r_t_ integer_helper_hex_3( - I const& value -, int minimumWidth -, int precision -, int uppercaseX + I const& value +, int minimumWidth +, int precision +, ff_i2s_case_selection_t uppercaseX ) { return integer_helper_5(value, minimumWidth, precision, 16, uppercaseX); diff --git a/include/fastformat/inserters/to_x.hpp b/include/fastformat/inserters/to_x.hpp index 0fd82dd..5ec5dd0 100644 --- a/include/fastformat/inserters/to_x.hpp +++ b/include/fastformat/inserters/to_x.hpp @@ -4,11 +4,11 @@ * Purpose: Inserter functions for integral types in hex format * * Created: 19th December 2009 - * Updated: 7th December 2010 + * Updated: 5th November 2013 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2009-2010, Matthew Wilson and Synesis Software + * Copyright (c) 2009-2013, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -54,8 +54,8 @@ #ifndef FASTFORMAT_DOCUMENTATION_SKIP_SECTION # define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_TO_X_MAJOR 1 # define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_TO_X_MINOR 0 -# define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_TO_X_REVISION 3 -# define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_TO_X_EDIT 6 +# define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_TO_X_REVISION 4 +# define FASTFORMAT_VER_FASTFORMAT_INSERTERS_HPP_TO_X_EDIT 7 #endif /* !FASTFORMAT_DOCUMENTATION_SKIP_SECTION */ /* ///////////////////////////////////////////////////////////////////////// @@ -102,7 +102,12 @@ inline ximpl_integer::ff_to_i_r_t_ to_x( #if !defined(FASTFORMAT_NO_NAMESPACE) ::fastformat::inserters:: #endif /* !FASTFORMAT_NO_NAMESPACE */ - ximpl_integer::integer_helper_hex_3(value, ximpl_integer::default_width_sentinel_(), ximpl_integer::default_precision_sentinel_(), false); + ximpl_integer::integer_helper_hex_3( + value + , ximpl_integer::default_width_sentinel_() + , ximpl_integer::default_precision_sentinel_() + , ximpl_integer::FF_ximpl_REQUIRE_LOWERCASE + ); } /** Inserts the hexadecimal string form of an integral value @@ -128,7 +133,12 @@ inline ximpl_integer::ff_to_i_r_t_ to_X( #if !defined(FASTFORMAT_NO_NAMESPACE) ::fastformat::inserters:: #endif /* !FASTFORMAT_NO_NAMESPACE */ - ximpl_integer::integer_helper_hex_3(value, ximpl_integer::default_width_sentinel_(), ximpl_integer::default_precision_sentinel_(), true); + ximpl_integer::integer_helper_hex_3( + value + , ximpl_integer::default_width_sentinel_() + , ximpl_integer::default_precision_sentinel_() + , ximpl_integer::FF_ximpl_REQUIRE_UPPERCASE + ); } /** Inserts the hexadecimal string form of an integral value @@ -158,7 +168,12 @@ inline ximpl_integer::ff_to_i_r_t_ to_x( #if !defined(FASTFORMAT_NO_NAMESPACE) ::fastformat::inserters:: #endif /* !FASTFORMAT_NO_NAMESPACE */ - ximpl_integer::integer_helper_hex_3(value, minimumWidth, ximpl_integer::default_precision_sentinel_(), false); + ximpl_integer::integer_helper_hex_3( + value + , minimumWidth + , ximpl_integer::default_precision_sentinel_() + , ximpl_integer::FF_ximpl_REQUIRE_LOWERCASE + ); } /** Inserts the hexadecimal string form of an integral value @@ -188,7 +203,12 @@ inline ximpl_integer::ff_to_i_r_t_ to_X( #if !defined(FASTFORMAT_NO_NAMESPACE) ::fastformat::inserters:: #endif /* !FASTFORMAT_NO_NAMESPACE */ - ximpl_integer::integer_helper_hex_3(value, minimumWidth, ximpl_integer::default_precision_sentinel_(), true); + ximpl_integer::integer_helper_hex_3( + value + , minimumWidth + , ximpl_integer::default_precision_sentinel_() + , ximpl_integer::FF_ximpl_REQUIRE_UPPERCASE + ); } /** Inserts the hexadecimal string form of an integral value @@ -222,7 +242,12 @@ inline ximpl_integer::ff_to_i_r_t_ to_x( #if !defined(FASTFORMAT_NO_NAMESPACE) ::fastformat::inserters:: #endif /* !FASTFORMAT_NO_NAMESPACE */ - ximpl_integer::integer_helper_hex_3(value, minimumWidth, precision, false); + ximpl_integer::integer_helper_hex_3( + value + , minimumWidth + , precision + , ximpl_integer::FF_ximpl_REQUIRE_LOWERCASE + ); } /** Inserts the hexadecimal string form of an integral value @@ -256,7 +281,12 @@ inline ximpl_integer::ff_to_i_r_t_ to_X( #if !defined(FASTFORMAT_NO_NAMESPACE) ::fastformat::inserters:: #endif /* !FASTFORMAT_NO_NAMESPACE */ - ximpl_integer::integer_helper_hex_3(value, minimumWidth, precision, true); + ximpl_integer::integer_helper_hex_3( + value + , minimumWidth + , precision + , ximpl_integer::FF_ximpl_REQUIRE_UPPERCASE + ); } /* ///////////////////////////////////////////////////////////////////////// diff --git a/include/fastformat/internal/initialiser.hpp b/include/fastformat/internal/initialiser.hpp index a51a23f..e8add69 100644 --- a/include/fastformat/internal/initialiser.hpp +++ b/include/fastformat/internal/initialiser.hpp @@ -4,11 +4,11 @@ * Purpose: Automatic initialisation of FastFormat library * * Created: 21st June 2005 - * Updated: 2nd August 2010 + * Updated: 29th March 2013 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2005-2010, Matthew Wilson and Synesis Software + * Copyright (c) 2005-2013, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -210,11 +210,15 @@ class fastformat_initialiser { #ifdef FASTFORMAT_INIT_FAILURE_REPORT_VIA_PANTHEIOS { +# ifndef PANTHEIOS_NO_NAMESPACE + using pantheios::pantheios_logprintf; +# endif /* !PANTHEIOS_NO_NAMESPACE */ + // Record initialisation failure to Pantheios logging API // // Assumes that FastFormat and Pantheios both use multi-byte // string build, or both use wide-string build - ::pantheios::logprintf(PANTHEIOS_SEV_ALERT, PANTHEIOS_LITERAL_STRING("could not initialise the FastFormat library: %s"), fastformat_getInitCodeString(r)); + pantheios_logprintf(PANTHEIOS_SEV_ALERT, PANTHEIOS_LITERAL_STRING("could not initialise the FastFormat library: %s"), fastformat_getInitCodeString(r)); } #endif #ifdef FASTFORMAT_INIT_FAILURE_REPORT_VIA_WINDOWS_LOGGING diff --git a/projects/core/vc10/fastformat.core.vcxproj b/projects/core/vc10/fastformat.core.vcxproj new file mode 100644 index 0000000..8a03cc5 --- /dev/null +++ b/projects/core/vc10/fastformat.core.vcxproj @@ -0,0 +1,879 @@ + + + + + Debug Multithreaded DLL pseudoUNIX + Win32 + + + Debug Multithreaded DLL pseudoUNIX + x64 + + + Debug Multithreaded DLL + Win32 + + + Debug Multithreaded DLL + x64 + + + Debug Multithreaded pseudoUNIX + Win32 + + + Debug Multithreaded pseudoUNIX + x64 + + + Debug Multithreaded + Win32 + + + Debug Multithreaded + x64 + + + Release Multithreaded DLL pseudoUNIX + Win32 + + + Release Multithreaded DLL pseudoUNIX + x64 + + + Release Multithreaded DLL + Win32 + + + Release Multithreaded DLL + x64 + + + Release Multithreaded pseudoUNIX + Win32 + + + Release Multithreaded pseudoUNIX + x64 + + + Release Multithreaded + Win32 + + + Release Multithreaded + x64 + + + Unicode Debug Multithreaded DLL + Win32 + + + Unicode Debug Multithreaded DLL + x64 + + + Unicode Debug Multithreaded + Win32 + + + Unicode Debug Multithreaded + x64 + + + Unicode Release Multithreaded DLL + Win32 + + + Unicode Release Multithreaded DLL + x64 + + + Unicode Release Multithreaded + Win32 + + + Unicode Release Multithreaded + x64 + + + + {D1D47009-E3FE-4D89-896B-B9CC697855CD} + fastformat.core + Win32Proj + + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + Unicode + + + StaticLibrary + Unicode + + + StaticLibrary + Unicode + + + StaticLibrary + Unicode + + + StaticLibrary + Unicode + + + StaticLibrary + Unicode + + + StaticLibrary + Unicode + + + StaticLibrary + Unicode + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + StaticLibrary + MultiByte + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + <_ProjectFileVersion>10.0.40219.1 + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + ../../../lib/ + ../../../lib/ + $(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + fastformat.0.core.vc10.x64.mt.debug + fastformat.0.core.vc10.mt.debug + + + + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN32;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreaded + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.mt.lib + + + + + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN64;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreaded + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.mt.lib + + + + + Disabled + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN32;_DEBUG;_LIB;%(PreprocessorDefinitions) + true + EnableFastChecks + true + MultiThreadedDebug + true + true + false + + + Level4 + true + ProgramDatabase + + + $(OutDir)$(TargetName)$(TargetExt) + + + + + Disabled + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN64;_DEBUG;_LIB;%(PreprocessorDefinitions) + EnableFastChecks + true + MultiThreadedDebug + true + true + false + + + Level4 + true + ProgramDatabase + + + $(OutDir)$(TargetName)$(TargetExt) + + + + + MaxSpeed + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN32;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreadedDLL + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.dll.lib + + + + + MaxSpeed + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN64;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreadedDLL + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.dll.lib + + + + + Disabled + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN32;_DEBUG;_LIB;%(PreprocessorDefinitions) + true + EnableFastChecks + true + MultiThreadedDebugDLL + true + true + false + + + Level4 + true + ProgramDatabase + + + ../../../lib/fastformat.0.core.vc10.dll.debug.lib + + + + + Disabled + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN64;_DEBUG;_LIB;%(PreprocessorDefinitions) + EnableFastChecks + true + MultiThreadedDebugDLL + true + true + false + + + Level4 + true + ProgramDatabase + + + ../../../lib/fastformat.0.core.vc10.dll.debug.lib + + + + + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN32;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreaded + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.widestring.mt.lib + + + + + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN64;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreaded + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.widestring.mt.lib + + + + + Disabled + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN32;_DEBUG;_LIB;%(PreprocessorDefinitions) + true + EnableFastChecks + true + MultiThreadedDebug + true + true + false + + + Level4 + true + ProgramDatabase + + + ../../../lib/fastformat.0.core.vc10.widestring.mt.debug.lib + + + + + Disabled + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN64;_DEBUG;_LIB;%(PreprocessorDefinitions) + EnableFastChecks + true + MultiThreadedDebug + true + true + false + + + Level4 + true + ProgramDatabase + + + ../../../lib/fastformat.0.core.vc10.widestring.mt.debug.lib + + + + + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN32;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreadedDLL + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.widestring.dll.lib + + + + + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN64;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreadedDLL + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.widestring.dll.lib + + + + + Disabled + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN32;_DEBUG;_LIB;%(PreprocessorDefinitions) + true + EnableFastChecks + true + MultiThreadedDebugDLL + true + true + false + + + Level4 + true + ProgramDatabase + + + ../../../lib/fastformat.0.core.vc10.widestring.dll.debug.lib + + + + + Disabled + ../../../include;$(STLSOFT)/include;%(AdditionalIncludeDirectories) + WIN64;_DEBUG;_LIB;%(PreprocessorDefinitions) + EnableFastChecks + true + MultiThreadedDebugDLL + true + true + false + + + Level4 + true + ProgramDatabase + + + ../../../lib/fastformat.0.core.vc10.widestring.dll.debug.lib + + + + + ../../../include;$(STLSOFT)/include;$(UNIXEM)/include;$(PTHREADS_WIN32)/include;%(AdditionalIncludeDirectories) + UNIX;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreaded + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.unix.mt.lib + + + + + ../../../include;$(STLSOFT)/include;$(UNIXEM)/include;$(PTHREADS_WIN32)/include;%(AdditionalIncludeDirectories) + UNIX;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreaded + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.unix.mt.lib + + + + + Disabled + ../../../include;$(STLSOFT)/include;$(UNIXEM)/include;$(PTHREADS_WIN32)/include;%(AdditionalIncludeDirectories) + UNIX;_DEBUG;_LIB;%(PreprocessorDefinitions) + true + EnableFastChecks + true + MultiThreadedDebug + true + true + false + + + Level4 + true + ProgramDatabase + + + ../../../lib/fastformat.0.core.vc10.unix.mt.debug.lib + + + + + Disabled + ../../../include;$(STLSOFT)/include;$(UNIXEM)/include;$(PTHREADS_WIN32)/include;%(AdditionalIncludeDirectories) + UNIX;_DEBUG;_LIB;%(PreprocessorDefinitions) + EnableFastChecks + true + MultiThreadedDebug + true + true + false + + + Level4 + true + ProgramDatabase + + + ../../../lib/fastformat.0.core.vc10.unix.mt.debug.lib + + + + + ../../../include;$(STLSOFT)/include;$(UNIXEM)/include;$(PTHREADS_WIN32)/include;%(AdditionalIncludeDirectories) + UNIX;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreadedDLL + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.unix.dll.lib + + + + + ../../../include;$(STLSOFT)/include;$(UNIXEM)/include;$(PTHREADS_WIN32)/include;%(AdditionalIncludeDirectories) + UNIX;NDEBUG;_LIB;%(PreprocessorDefinitions) + MultiThreadedDLL + + + Level4 + true + + + ../../../lib/fastformat.0.core.vc10.unix.dll.lib + + + + + Disabled + ../../../include;$(STLSOFT)/include;$(UNIXEM)/include;$(PTHREADS_WIN32)/include;%(AdditionalIncludeDirectories) + UNIX;_DEBUG;_LIB;%(PreprocessorDefinitions) + true + EnableFastChecks + true + MultiThreadedDebugDLL + true + true + false + + + Level4 + true + ProgramDatabase + + + ../../../lib/fastformat.0.core.vc10.unix.dll.debug.lib + + + + + Disabled + ../../../include;$(STLSOFT)/include;$(UNIXEM)/include;$(PTHREADS_WIN32)/include;%(AdditionalIncludeDirectories) + UNIX;_DEBUG;_LIB;%(PreprocessorDefinitions) + EnableFastChecks + true + MultiThreadedDebugDLL + true + true + false + + + Level4 + true + ProgramDatabase + + + ../../../lib/fastformat.0.core.vc10.unix.dll.debug.lib + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Document + true + true + true + true + true + true + true + true + true + true + true + true + true + true + true + true + true + true + true + true + true + true + true + true + + + + + + \ No newline at end of file diff --git a/projects/core/vc6/fastformat.core.dsp b/projects/core/vc6/fastformat.core.dsp index 1da935c..2e31567 100644 --- a/projects/core/vc6/fastformat.core.dsp +++ b/projects/core/vc6/fastformat.core.dsp @@ -7,16 +7,16 @@ CFG=fastformat.core - Win32 Release !MESSAGE This is not a valid makefile. To build this project using NMAKE, !MESSAGE use the Export Makefile command and run -!MESSAGE +!MESSAGE !MESSAGE NMAKE /f "fastformat.core.mak". -!MESSAGE +!MESSAGE !MESSAGE You can specify a configuration when running NMAKE !MESSAGE by defining the macro CFG on the command line. For example: -!MESSAGE +!MESSAGE !MESSAGE NMAKE /f "fastformat.core.mak" CFG="fastformat.core - Win32 Release" -!MESSAGE +!MESSAGE !MESSAGE Possible choices for configuration are: -!MESSAGE +!MESSAGE !MESSAGE "fastformat.core - Win32 Release" (based on "Win32 (x86) Static Library") !MESSAGE "fastformat.core - Win32 Debug" (based on "Win32 (x86) Static Library") !MESSAGE "fastformat.core - Win32 Release Multithreaded" (based on "Win32 (x86) Static Library") @@ -35,7 +35,7 @@ CFG=fastformat.core - Win32 Release !MESSAGE "fastformat.core - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Static Library") !MESSAGE "fastformat.core - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Static Library") !MESSAGE "fastformat.core - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Static Library") -!MESSAGE +!MESSAGE # Begin Project # PROP AllowPerConfigDependencies 0 @@ -83,8 +83,8 @@ LIB32=link.exe -lib # PROP Target_Dir "" # ADD BASE CPP /nologo /W3 /Gm /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_LIB" /FD /GZ /c # SUBTRACT BASE CPP /YX -# ADD CPP /nologo /W3 /Gm /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_LIB" /FR /FD /GZ /c -# SUBTRACT CPP /YX +# ADD CPP /nologo /W3 /Gm /GX /Zi /Od /I "../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_LIB" /FD /GZ /c +# SUBTRACT CPP /Fr /YX # ADD BASE RSC /l 0xc09 /d "_DEBUG" # ADD RSC /l 0xc09 /d "_DEBUG" BSC32=bscmake.exe @@ -494,7 +494,7 @@ LIB32=link.exe -lib # ADD BASE LIB32 /nologo /out:"../../../lib/fastformat.0.core.vc6.unix.dll.debug.lib" # ADD LIB32 /nologo /out:"../../../lib/fastformat.0.core.vc6.unix.dll.debug.lib" -!ENDIF +!ENDIF # Begin Target @@ -615,6 +615,10 @@ SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" # End Source File # Begin Source File +SOURCE="$(STLSOFT)\include\stlsoft\internal\head_version.h" +# End Source File +# Begin Source File + SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" # End Source File # Begin Source File @@ -627,6 +631,14 @@ SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" # End Source File # Begin Source File +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + SOURCE="$(STLSOFT)\include\stlsoft\meta\is_signed_type.hpp" # End Source File # Begin Source File @@ -635,6 +647,10 @@ SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" # End Source File # Begin Source File +SOURCE="$(STLSOFT)\include\stlsoft\internal\lead_version.h" +# End Source File +# Begin Source File + SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" # End Source File # Begin Source File @@ -755,6 +771,10 @@ SOURCE="$(STLSOFT)\include\platformstl\synch\atomic_functions.h" # End Source File # Begin Source File +SOURCE="$(STLSOFT)\include\platformstl\synch\atomic_types.h" +# End Source File +# Begin Source File + SOURCE="$(STLSOFT)\include\platformstl\synch\util\features.h" # End Source File # Begin Source File @@ -778,24 +798,16 @@ SOURCE="$(STLSOFT)\include\platformstl\synch\thread_mutex.hpp" SOURCE="$(STLSOFT)\include\platformstl\synch\tss_index.hpp" # End Source File # End Group -# Begin Group "UNIXSTL" +# Begin Group "WinSTL" # PROP Default_Filter "" # Begin Source File -SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" -# End Source File -# Begin Source File - -SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +SOURCE="$(STLSOFT)\include\winstl\synch\atomic_functions.h" # End Source File -# End Group -# Begin Group "WinSTL" - -# PROP Default_Filter "" # Begin Source File -SOURCE="$(STLSOFT)\include\winstl\synch\atomic_functions.h" +SOURCE="$(STLSOFT)\include\winstl\synch\atomic_types.h" # End Source File # Begin Source File @@ -879,15 +891,11 @@ SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\threading.h" # End Source File # End Group -# Begin Group "UNIXem Header Files" - -# PROP Default_Filter "" # Begin Source File -SOURCE="$(UNIXEM)\include\unistd.h" +SOURCE="$(FASTFORMAT_ROOT)\src\ximpl_core.hpp" # End Source File # End Group -# End Group # Begin Group "Script Files" # PROP Default_Filter "pl;py;rb;vbs" diff --git a/src/api.cpp b/src/api.cpp index c8a9c60..7bb9376 100644 --- a/src/api.cpp +++ b/src/api.cpp @@ -4,11 +4,11 @@ * Purpose: Implementation file for FastFormat core API: API init. * * Created: 20th November 2007 - * Updated: 3rd February 2012 + * Updated: 28th October 2013 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2007-2012, Matthew Wilson and Synesis Software + * Copyright (c) 2007-2013, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -275,6 +275,10 @@ namespace * API Functions */ +/* ************************************* + * API Initialisation + */ + FASTFORMAT_CALL(int) fastformat_init() { FASTFORMAT_COVER_MARK_ENTRY(); @@ -484,6 +488,10 @@ FASTFORMAT_CALL(void) fastformat_uninit() #endif /* PLATFORMSTL_HAS_ATOMIC_INTEGER_OPERATIONS */ } +/* ************************************* + * Process management + */ + FASTFORMAT_CALL(void) fastformat_exitProcess(int code) { FASTFORMAT_COVER_MARK_ENTRY(); @@ -556,6 +564,10 @@ FASTFORMAT_CALL(unsigned) fastformat_lookupPattern( } +/* ************************************* + * Ill-formed handler + */ + FASTFORMAT_CALL(ff_illformed_handler_info_t) fastformat_getProcessIllformedHandler(void) { FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_STATE_INTERNAL(NULL != s_stateDefectHandlers, "defect handlers state must not be null"); @@ -592,6 +604,19 @@ FASTFORMAT_CALL(ff_illformed_handler_info_t) fastformat_setThreadIllformedHandle return ximpl_core::fastformat_impl_handlers_setIllformedThreadHandler(s_stateDefectHandlers, handler, param); } +FASTFORMAT_CALL(ff_illformed_handler_info_t) fastformat_getDefaultIllformedHandler(void) +{ + FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_STATE_INTERNAL(NULL != s_stateDefectHandlers, "defect handlers state must not be null"); + + FASTFORMAT_COVER_MARK_ENTRY(); + + return ximpl_core::fastformat_impl_handlers_getIllformedDefaultHandler(s_stateDefectHandlers); +} + + +/* ************************************* + * Mismatched handler + */ FASTFORMAT_CALL(ff_mismatched_handler_info_t) fastformat_getProcessMismatchedHandler(void) { @@ -629,6 +654,20 @@ FASTFORMAT_CALL(ff_mismatched_handler_info_t) fastformat_setThreadMismatchedHand return ximpl_core::fastformat_impl_handlers_setMismatchedThreadHandler(s_stateDefectHandlers, handler, param); } +FASTFORMAT_CALL(ff_mismatched_handler_info_t) fastformat_getDefaultMismatchedHandler(void) +{ + FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_STATE_INTERNAL(NULL != s_stateDefectHandlers, "defect handlers state must not be null"); + + FASTFORMAT_COVER_MARK_ENTRY(); + + return ximpl_core::fastformat_impl_handlers_getDefaultMismatchedHandler(s_stateDefectHandlers); +} + + +/* ************************************* + * Special slices + */ + FASTFORMAT_CALL(ff_char_t const*) fastformat_getSpacesSlice(size_t len) { FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_STATE_INTERNAL(NULL != s_stateDefectHandlers, "replacements state must not be null"); diff --git a/src/fmt_cache.cpp b/src/fmt_cache.cpp index b4d2f59..373f07a 100644 --- a/src/fmt_cache.cpp +++ b/src/fmt_cache.cpp @@ -4,11 +4,11 @@ * Purpose: Implementation file for FastFormat core API: format cache. * * Created: 18th September 2006 - * Updated: 3rd February 2012 + * Updated: 7th August 2015 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2006-2012, Matthew Wilson and Synesis Software + * Copyright (c) 2006-2015, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -473,13 +473,13 @@ unsigned format_cache::lookup_pattern_1phase( FASTFORMAT_COVER_MARK_ENTRY(); - record_ptr_type_ ptr = (*it).second; + record_ptr_type_ recptr = (*it).second; - *elements = ptr->elements; + *elements = recptr->elements; FASTFORMAT_COVER_MARK_ENTRY(); - return (ptr->numFormatElements & 0xffff) | ((ptr->numResultElements & 0xffff) << 16); + return (recptr->numFormatElements & 0xffff) | ((recptr->numResultElements & 0xffff) << 16); } unsigned format_cache::lookup_pattern_2phase( @@ -576,13 +576,13 @@ unsigned format_cache::lookup_pattern_2phase( it = m_map.insert(std::make_pair(ptr->pattern(), ptr)).first; } - record_ptr_type_ ptr = (*it).second; + record_ptr_type_ recptr = (*it).second; - *elements = ptr->elements; + *elements = recptr->elements; FASTFORMAT_COVER_MARK_ENTRY(); - return (ptr->numFormatElements & 0xffff) | ((ptr->numResultElements & 0xffff) << 16); + return (recptr->numFormatElements & 0xffff) | ((recptr->numResultElements & 0xffff) << 16); } #endif /* FASTFORMAT_MT */ } diff --git a/src/fmt_spec_defect_handlers.cpp b/src/fmt_spec_defect_handlers.cpp index 7bb88b6..764f84f 100644 --- a/src/fmt_spec_defect_handlers.cpp +++ b/src/fmt_spec_defect_handlers.cpp @@ -5,11 +5,11 @@ * handlers. * * Created: 26th November 2007 - * Updated: 3rd February 2012 + * Updated: 22nd August 2015 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2007-2012, Matthew Wilson and Synesis Software + * Copyright (c) 2007-2015, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -165,19 +165,6 @@ namespace ff_mismatched_handler_info_t_ getMismatchedThreadHandler() const; ff_mismatched_handler_info_t_ setMismatchedThreadHandler(fastformat_mismatchedHandler_t handler, void* param); - private: /// Implementation - static int FASTFORMAT_CALLCONV default_illformedHandler( void* param - , ff_parse_code_t code - , ff_char_t const* format - , size_t formatLen - , size_t replacementIndex - , ff_char_t const* defect - , size_t defectLen - , int parameterIndex - , void* reserved0 - , size_t reserved1 - , void* reserved2); - private: /// Member Variables mx_type_ m_mx; #ifdef FASTFORMAT_MT @@ -185,10 +172,10 @@ namespace #endif /* FASTFORMAT_MT */ ff_illformed_handler_info_t_ m_illformedProcessHandler; ff_mismatched_handler_info_t_ m_unmatchedProcessHandler; -#ifdef FASTFORMAT_MT +#ifndef FASTFORMAT_MT ff_illformed_handler_info_t_ m_illformedThreadHandler; ff_mismatched_handler_info_t_ m_unmatchedThreadHandler; -#endif /* FASTFORMAT_MT */ +#endif /* !FASTFORMAT_MT */ }; } // anonymous namespace @@ -287,6 +274,7 @@ ff_illformed_handler_info_t ximpl_core::fastformat_impl_handlers_setIllformedThr return ctxt->setIllformedThreadHandler(handler, param); } + ff_mismatched_handler_info_t ximpl_core::fastformat_impl_handlers_getMismatchedProcessHandler(void* token) { FASTFORMAT_COVER_MARK_ENTRY(); @@ -331,24 +319,29 @@ ff_mismatched_handler_info_t ximpl_core::fastformat_impl_handlers_setMismatchedT return ctxt->setMismatchedThreadHandler(handler, param); } + namespace { - /* static */ int defectHandlers_context_t::default_illformedHandler( - void* /* param */ + +#ifdef STLSOFT_CF_EXCEPTION_SUPPORT + int FASTFORMAT_CALLCONV fastformat_stock_illformedHandler_throw( + void* /* param */ , ff_parse_code_t code , ff_char_t const* format , size_t formatLen - , size_t /* replacementIndex */ - , ff_char_t const* /* ptr */ - , size_t /* len */ - , int /* index */ - , void* /* reserved0 */ - , size_t /* reserved1 */ - , void* /* reserved2 */ + , size_t /* replacementIndex */ + , ff_char_t const* /* defect */ + , size_t /* defectLen */ + , int /* parameterIndex */ + , void* /* reserved0 */ + , size_t /* reserved1 */ + , void* /* reserved2 */ ) { // Simplistic factory for exceptions + FASTFORMAT_COVER_MARK_ENTRY(); + switch(code) { default: @@ -365,6 +358,26 @@ namespace return 0; } +#endif /* STLSOFT_CF_EXCEPTION_SUPPORT */ + + int FASTFORMAT_CALLCONV fastformat_stock_illformedHandler_ignore( + void* /* param */ + , ff_parse_code_t /* code */ + , ff_char_t const* /* format */ + , size_t /* formatLen */ + , size_t /* replacementIndex */ + , ff_char_t const* /* defect */ + , size_t /* defectLen */ + , int /* parameterIndex */ + , void* /* reserved0 */ + , size_t /* reserved1 */ + , void* /* reserved2 */ + ) + { + // No-op + + return 0; // Return 0 to indicate + } defectHandlers_context_t::defectHandlers_context_t() @@ -372,17 +385,21 @@ namespace #ifdef FASTFORMAT_MT , m_key() #endif /* FASTFORMAT_MT */ - , m_illformedProcessHandler(defectHandlers_context_t::default_illformedHandler, NULL) + , m_illformedProcessHandler(fastformat_stock_illformedHandler_throw, NULL) , m_unmatchedProcessHandler() -#ifdef FASTFORMAT_MT +#ifndef FASTFORMAT_MT , m_illformedThreadHandler() , m_unmatchedThreadHandler() -#endif /* FASTFORMAT_MT */ - {} +#endif /* !FASTFORMAT_MT */ + { + FASTFORMAT_COVER_MARK_ENTRY(); + } ff_illformed_handler_info_t_ defectHandlers_context_t::getIllformedProcessHandler() const { + FASTFORMAT_COVER_MARK_ENTRY(); + return m_illformedProcessHandler; } @@ -405,33 +422,43 @@ namespace ff_illformed_handler_info_t_ defectHandlers_context_t::getIllformedThreadHandler() const { + FASTFORMAT_COVER_MARK_ENTRY(); + #ifdef FASTFORMAT_MT slot_type_* slot = static_cast(m_key.get_value()); return (NULL == slot) ? ff_illformed_handler_info_t_() : slot->illformedHandler; #else /* ? FASTFORMAT_MT */ - // Not multithreading, so use process handler + // Not multithreading - return getIllformedProcessHandler(); + return m_illformedThreadHandler; #endif /* FASTFORMAT_MT */ } ff_illformed_handler_info_t_ defectHandlers_context_t::setIllformedThreadHandler(fastformat_illformedHandler_t handler, void* param) { + FASTFORMAT_COVER_MARK_ENTRY(); + + ff_illformed_handler_info_t_ oldHandler(handler, param); #ifdef FASTFORMAT_MT slot_type_* slot = static_cast(m_key.get_value()); - ff_illformed_handler_info_t_ oldHandler(handler, param); if(NULL == slot) { + FASTFORMAT_COVER_MARK_ENTRY(); + slot = static_cast(fastformat_malloc(sizeof(slot_type_))); if(NULL == slot) { + FASTFORMAT_COVER_MARK_ENTRY(); + throw std::bad_alloc(); } else { + FASTFORMAT_COVER_MARK_ENTRY(); + FASTFORMAT_CONTRACT_ENFORCE_ASSUMPTION(NULL == slot->illformedHandler.handler); FASTFORMAT_CONTRACT_ENFORCE_ASSUMPTION(NULL == slot->illformedHandler.param); FASTFORMAT_CONTRACT_ENFORCE_ASSUMPTION(NULL == slot->mismatchedHandler.handler); @@ -442,18 +469,26 @@ namespace } slot->illformedHandler.swap(oldHandler); - - return oldHandler; #else /* ? FASTFORMAT_MT */ - // Not multithreading, so use process handler + // Not multithreading - return setIllformedProcessHandler(handler, param); + { + stlsoft::lock_scope lock(m_mx); + + FASTFORMAT_COVER_MARK_ENTRY(); + + m_illformedThreadHandler.swap(oldHandler); + } #endif /* FASTFORMAT_MT */ + + return oldHandler; } ff_mismatched_handler_info_t_ defectHandlers_context_t::getMismatchedProcessHandler() const { + FASTFORMAT_COVER_MARK_ENTRY(); + return m_unmatchedProcessHandler; } @@ -476,33 +511,43 @@ namespace ff_mismatched_handler_info_t_ defectHandlers_context_t::getMismatchedThreadHandler() const { + FASTFORMAT_COVER_MARK_ENTRY(); + #ifdef FASTFORMAT_MT slot_type_* slot = static_cast(m_key.get_value()); return (NULL == slot) ? ff_mismatched_handler_info_t_() : slot->mismatchedHandler; #else /* ? FASTFORMAT_MT */ - // Not multithreading, so use process handler + // Not multithreading - return getMismatchedProcessHandler(); + return m_unmatchedThreadHandler; #endif /* FASTFORMAT_MT */ } ff_mismatched_handler_info_t_ defectHandlers_context_t::setMismatchedThreadHandler(fastformat_mismatchedHandler_t handler, void* param) { + FASTFORMAT_COVER_MARK_ENTRY(); + + ff_mismatched_handler_info_t_ oldHandler(handler, param); #ifdef FASTFORMAT_MT slot_type_* slot = static_cast(m_key.get_value()); - ff_mismatched_handler_info_t_ oldHandler(handler, param); if(NULL == slot) { + FASTFORMAT_COVER_MARK_ENTRY(); + slot = static_cast(fastformat_malloc(sizeof(slot_type_))); if(NULL == slot) { + FASTFORMAT_COVER_MARK_ENTRY(); + throw std::bad_alloc(); } else { + FASTFORMAT_COVER_MARK_ENTRY(); + FASTFORMAT_CONTRACT_ENFORCE_ASSUMPTION(NULL == slot->illformedHandler.handler); FASTFORMAT_CONTRACT_ENFORCE_ASSUMPTION(NULL == slot->illformedHandler.param); FASTFORMAT_CONTRACT_ENFORCE_ASSUMPTION(NULL == slot->mismatchedHandler.handler); @@ -513,17 +558,47 @@ namespace } slot->mismatchedHandler.swap(oldHandler); - - return oldHandler; #else /* ? FASTFORMAT_MT */ - // Not multithreading, so use process handler + // Not multithreading + + { + stlsoft::lock_scope lock(m_mx); + + FASTFORMAT_COVER_MARK_ENTRY(); - return setMismatchedProcessHandler(handler, param); + m_unmatchedThreadHandler.swap(oldHandler); + } #endif /* FASTFORMAT_MT */ + + return oldHandler; } } // anonymous namespace +ff_illformed_handler_info_t ximpl_core::fastformat_impl_handlers_getIllformedDefaultHandler(void* token) +{ + FASTFORMAT_COVER_MARK_ENTRY(); + + FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_INTERNAL(NULL != token, "token must not be null"); + STLSOFT_SUPPRESS_UNUSED(token); + + ff_illformed_handler_info_t r; + + r.param = NULL; + +#ifdef STLSOFT_CF_EXCEPTION_SUPPORT + r.handler = fastformat_stock_illformedHandler_throw; + + STLSOFT_SUPPRESS_UNUSED(fastformat_stock_illformedHandler_ignore); +#else /* ? STLSOFT_CF_EXCEPTION_SUPPORT */ + r.handler = fastformat_stock_illformedHandler_ignore; + + STLSOFT_SUPPRESS_UNUSED(fastformat_stock_illformedHandler_throw); +#endif /* STLSOFT_CF_EXCEPTION_SUPPORT */ + + return r; +} + /* ///////////////////////////////////////////////////////////////////////// * Namespace */ diff --git a/src/replacements.cpp b/src/replacements.cpp index f80c501..29d19ae 100644 --- a/src/replacements.cpp +++ b/src/replacements.cpp @@ -4,11 +4,11 @@ * Purpose: Implementation file for FastFormat core API: replacements. * * Created: 18th September 2006 - * Updated: 3rd February 2012 + * Updated: 22nd August 2015 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2006-2012, Matthew Wilson and Synesis Software + * Copyright (c) 2006-2015, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -467,7 +467,7 @@ namespace #endif /* !FASTFORMAT_NO_NAMESPACE */ #ifdef STLSOFT_CF_EXCEPTION_SUPPORT - int FASTFORMAT_CALLCONV fastformat_stockMismatchedHandler_throw( + int FASTFORMAT_CALLCONV fastformat_stock_mismatchedHandler_throw( void* /* param */ , ff_replacement_code_t code , size_t numParameters @@ -498,7 +498,7 @@ namespace } #endif /* STLSOFT_CF_EXCEPTION_SUPPORT */ - int FASTFORMAT_CALLCONV fastformat_stockMismatchedHandler_ignore( + int FASTFORMAT_CALLCONV fastformat_stock_mismatchedHandler_ignore( void* /* param */ , ff_replacement_code_t /* code */ , size_t /* numParameters */ @@ -606,14 +606,12 @@ FASTFORMAT_CALL(unsigned) fastformat_parseFormat( illformed_handler_info_t handler_info = { handler, param }; -#ifdef FASTFORMAT_MT if(NULL == handler_info.handler) { FASTFORMAT_COVER_MARK_ENTRY(); handler_info = fastformat_getThreadIllformedHandler(); } -#endif /* FASTFORMAT_MT */ if(NULL == handler_info.handler) { @@ -622,6 +620,13 @@ FASTFORMAT_CALL(unsigned) fastformat_parseFormat( handler_info = fastformat_getProcessIllformedHandler(); } + if(NULL == handler_info.handler) + { + FASTFORMAT_COVER_MARK_ENTRY(); + + handler_info = fastformat_getDefaultIllformedHandler(); + } + unsigned num = 0; // number of replacement elements written unsigned numRes = 0; ff_char_t const* p0 = fmt; @@ -659,7 +664,7 @@ FASTFORMAT_CALL(unsigned) fastformat_parseFormat( { FASTFORMAT_COVER_MARK_ENTRY(); - FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_API(num < numFormatElements, "insufficient replacement storage provided to fastformat_parseFormat(); recode using FASTFORMAT_CALL(size_t) fastformat_calculateNumberOfRequiredReplacements()"); + FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_API(num < numFormatElements, "insufficient replacement storage provided to fastformat_parseFormat(); recode using fastformat_calculateNumberOfRequiredReplacements()"); formatElements[num].ptr = p0; formatElements[num].len = static_cast((p1 - p0) - 1); @@ -675,7 +680,7 @@ FASTFORMAT_CALL(unsigned) fastformat_parseFormat( case '{': // escaping; not required for '}' FASTFORMAT_COVER_MARK_ENTRY(); - FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_API(num < numFormatElements, "insufficient replacement storage provided to fastformat_parseFormat(); recode using FASTFORMAT_CALL(size_t) fastformat_calculateNumberOfRequiredReplacements()"); + FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_API(num < numFormatElements, "insufficient replacement storage provided to fastformat_parseFormat(); recode using fastformat_calculateNumberOfRequiredReplacements()"); formatElements[num].ptr = p1; formatElements[num].len = 1; @@ -728,7 +733,7 @@ FASTFORMAT_CALL(unsigned) fastformat_parseFormat( state = literal; - FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_API(num < numFormatElements, "insufficient replacement storage provided to fastformat_parseFormat(); recode using FASTFORMAT_CALL(size_t) fastformat_calculateNumberOfRequiredReplacements()"); + FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_API(num < numFormatElements, "insufficient replacement storage provided to fastformat_parseFormat(); recode using fastformat_calculateNumberOfRequiredReplacements()"); parameterIndex = parse_parameter_(p0, p1, formatElements, num, numRes); @@ -770,7 +775,7 @@ FASTFORMAT_CALL(unsigned) fastformat_parseFormat( p0 = p1 + 1; - FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_API(num <= numFormatElements, "insufficient replacement storage provided to fastformat_parseFormat(); recode using FASTFORMAT_CALL(size_t) fastformat_calculateNumberOfRequiredReplacements()"); + FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_API(num <= numFormatElements, "insufficient replacement storage provided to fastformat_parseFormat(); recode using fastformat_calculateNumberOfRequiredReplacements()"); break; default: @@ -856,7 +861,7 @@ FASTFORMAT_CALL(unsigned) fastformat_parseFormat( FASTFORMAT_COVER_MARK_ENTRY(); - FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_API(num < numFormatElements, "insufficient replacement storage provided to fastformat_parseFormat(); recode using FASTFORMAT_CALL(size_t) fastformat_calculateNumberOfRequiredReplacements()"); + FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_API(num < numFormatElements, "insufficient replacement storage provided to fastformat_parseFormat(); recode using fastformat_calculateNumberOfRequiredReplacements()"); formatElements[num].ptr = p0; formatElements[num].len = static_cast(p1 - p0); @@ -899,14 +904,12 @@ FASTFORMAT_CALL(size_t) fastformat_fillReplacements( std::fill(argumentReferenceFlags.begin(), argumentReferenceFlags.end(), 0); #endif /* !FASTFORMAT_DO_NOT_DETECT_UNREFERENCED_ARGUMENTS */ -#ifdef FASTFORMAT_MT if(NULL == handler_info.handler) { FASTFORMAT_COVER_MARK_ENTRY(); handler_info = fastformat_getThreadMismatchedHandler(); } -#endif /* FASTFORMAT_MT */ if(NULL == handler_info.handler) { @@ -917,11 +920,9 @@ FASTFORMAT_CALL(size_t) fastformat_fillReplacements( if(NULL == handler_info.handler) { -#ifdef STLSOFT_CF_EXCEPTION_SUPPORT - handler_info.handler = fastformat_stockMismatchedHandler_throw; -#else /* ? STLSOFT_CF_EXCEPTION_SUPPORT */ - handler_info.handler = fastformat_stockMismatchedHandler_ignore; -#endif /* STLSOFT_CF_EXCEPTION_SUPPORT */ + FASTFORMAT_COVER_MARK_ENTRY(); + + handler_info = fastformat_getDefaultMismatchedHandler(); } // Process every format element: @@ -1219,6 +1220,30 @@ void ximpl_core::fastformat_impl_replacements_uninit(void* token) delete static_cast(token); } +ff_mismatched_handler_info_t ximpl_core::fastformat_impl_handlers_getDefaultMismatchedHandler(void* token) +{ + FASTFORMAT_COVER_MARK_ENTRY(); + + FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_INTERNAL(NULL != token, "token must not be null"); + STLSOFT_SUPPRESS_UNUSED(token); + + ff_mismatched_handler_info_t r; + + r.param = NULL; + +#ifdef STLSOFT_CF_EXCEPTION_SUPPORT + r.handler = fastformat_stock_mismatchedHandler_throw; + + STLSOFT_SUPPRESS_UNUSED(fastformat_stock_mismatchedHandler_ignore); +#else /* ? STLSOFT_CF_EXCEPTION_SUPPORT */ + r.handler = fastformat_stock_mismatchedHandler_ignore; + + STLSOFT_SUPPRESS_UNUSED(fastformat_stock_mismatchedHandler_throw); +#endif /* STLSOFT_CF_EXCEPTION_SUPPORT */ + + return r; +} + ff_char_t const* ximpl_core::fastformat_impl_replacements_getSpaces(void* token, size_t len) { FASTFORMAT_CONTRACT_ENFORCE_PRECONDITION_PARAMS_INTERNAL(NULL != token, "state pointer must be null"); diff --git a/src/ximpl_core.hpp b/src/ximpl_core.hpp index 2806032..7fa312c 100644 --- a/src/ximpl_core.hpp +++ b/src/ximpl_core.hpp @@ -4,11 +4,11 @@ * Purpose: Declarations of internal shared core functions. * * Created: 3rd February 2012 - * Updated: 6th August 2012 + * Updated: 28th October 2013 * * Home: http://www.fastformat.org/ * - * Copyright (c) 2012, Matthew Wilson and Synesis Software + * Copyright (c) 2012-2013, Matthew Wilson and Synesis Software * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -96,10 +96,12 @@ class ximpl_core static ff_illformed_handler_info_t fastformat_impl_handlers_setIllformedProcessHandler(void* token, fastformat_illformedHandler_t handler, void* param); static ff_illformed_handler_info_t fastformat_impl_handlers_getIllformedThreadHandler(void* token); static ff_illformed_handler_info_t fastformat_impl_handlers_setIllformedThreadHandler(void* token, fastformat_illformedHandler_t handler, void* param); + static ff_illformed_handler_info_t fastformat_impl_handlers_getIllformedDefaultHandler(void* token); static ff_mismatched_handler_info_t fastformat_impl_handlers_getMismatchedProcessHandler(void* token); static ff_mismatched_handler_info_t fastformat_impl_handlers_setMismatchedProcessHandler(void* token, fastformat_mismatchedHandler_t handler, void* param); static ff_mismatched_handler_info_t fastformat_impl_handlers_getMismatchedThreadHandler(void* token); static ff_mismatched_handler_info_t fastformat_impl_handlers_setMismatchedThreadHandler(void* token, fastformat_mismatchedHandler_t handler, void* param); + static ff_mismatched_handler_info_t fastformat_impl_handlers_getDefaultMismatchedHandler(void* token); }; /* class ximpl_core */ diff --git a/test/component/fastformat.test.component.vc6.dsw b/test/component/fastformat.test.component.vc6.dsw new file mode 100644 index 0000000..e629222 --- /dev/null +++ b/test/component/fastformat.test.component.vc6.dsw @@ -0,0 +1,209 @@ +Microsoft Developer Studio Workspace File, Format Version 6.00 +# WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE! + +############################################################################### + +Project: "fastformat.core"=..\..\projects\core\vc6\fastformat.core.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ +}}} + +############################################################################### + +Project: "test.component.FILE"=.\test.component.FILE\vc6\test.component.FILE.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.component.format_specification_defect_exceptions"=.\test.component.format_specification_defect_exceptions\vc6\test.component.format_specification_defect_exceptions.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.component.inserter.hex"=.\test.component.inserter.hex\vc6\test.component.inserter.hex.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.component.inserter.integer"=.\test.component.inserter.integer\vc6\test.component.inserter.integer.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.component.inserter.real"=.\test.component.inserter.real\vc6\test.component.inserter.real.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.component.sink.CComBSTR"=.\test.component.sink.CComBSTR\vc6\test.component.sink.CComBSTR.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.component.sink.auto_buffer"=.\test.component.sink.auto_buffer\vc6\test.component.sink.auto_buffer.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.component.sink.null"=.\test.component.sink.null\vc6\test.component.sink.null.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.component.sink.ostream"=.\test.component.sink.ostream\vc6\test.component.sink.ostream.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.component.sink.string.fmt"=.\test.component.sink.string.fmt\vc6\test.component.sink.string.fmt.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.component.sink.string.write"=.\test.component.sink.string.write\vc6\test.component.sink.string.write.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.component.sink.string_array"=.\test.component.sink.string_array\vc6\test.component.sink.string_array.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Global: + +Package=<5> +{{{ +}}} + +Package=<3> +{{{ +}}} + +############################################################################### + diff --git a/test/component/test.component.FILE/implicit_link.cpp b/test/component/test.component.FILE/implicit_link.cpp index 975cb72..25af07f 100644 --- a/test/component/test.component.FILE/implicit_link.cpp +++ b/test/component/test.component.FILE/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.FILE project. * * Created: 17th February 2009 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXem Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.FILE/test.component.FILE.cpp b/test/component/test.component.FILE/test.component.FILE.cpp index 907e26b..1b02300 100644 --- a/test/component/test.component.FILE/test.component.FILE.cpp +++ b/test/component/test.component.FILE/test.component.FILE.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.FILE project. * * Created: 3rd January 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2007-2009, Synesis Software Pty Ltd. + * Copyright (c) 2007-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,23 +20,23 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include diff --git a/test/component/test.component.FILE/vc6/test.component.FILE.dsp b/test/component/test.component.FILE/vc6/test.component.FILE.dsp new file mode 100644 index 0000000..a4f59a4 --- /dev/null +++ b/test/component/test.component.FILE/vc6/test.component.FILE.dsp @@ -0,0 +1,949 @@ +# Microsoft Developer Studio Project File - Name="test.component.FILE" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.FILE - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.FILE.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.FILE.mak" CFG="test.component.FILE - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.FILE - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.FILE - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.FILE - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.FILE - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.FILE.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.FILE - Win32 Release" +# Name "test.component.FILE - Win32 Debug" +# Name "test.component.FILE - Win32 Release Multithreaded" +# Name "test.component.FILE - Win32 Debug Multithreaded" +# Name "test.component.FILE - Win32 Release Multithreaded DLL" +# Name "test.component.FILE - Win32 Debug Multithreaded DLL" +# Name "test.component.FILE - Win32 Unicode Release" +# Name "test.component.FILE - Win32 Unicode Debug" +# Name "test.component.FILE - Win32 Unicode Release Multithreaded" +# Name "test.component.FILE - Win32 Unicode Debug Multithreaded" +# Name "test.component.FILE - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.FILE - Win32 Unicode Debug Multithreaded DLL" +# Name "test.component.FILE - Win32 Release pseudoUNIX" +# Name "test.component.FILE - Win32 Debug pseudoUNIX" +# Name "test.component.FILE - Win32 Release Multithreaded pseudoUNIX" +# Name "test.component.FILE - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.component.FILE - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.component.FILE - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.FILE.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_signed_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_tokeniser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\truncation_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\truncation_test.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\file_lines.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\memory_mapped_file.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\system_traits.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\memory_mapped_file.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\FILE.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/component/test.component.format_specification_defect_exceptions/implicit_link.cpp b/test/component/test.component.format_specification_defect_exceptions/implicit_link.cpp index 6b8689c..0a423d0 100644 --- a/test/component/test.component.format_specification_defect_exceptions/implicit_link.cpp +++ b/test/component/test.component.format_specification_defect_exceptions/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.format_specification_defect_exceptions project. * * Created: 13th April 2010 - * Updated: 13th April 2010 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.format_specification_defect_exceptions/test.component.format_specification_defect_exceptions.cpp b/test/component/test.component.format_specification_defect_exceptions/test.component.format_specification_defect_exceptions.cpp index eb38daa..514dc61 100644 --- a/test/component/test.component.format_specification_defect_exceptions/test.component.format_specification_defect_exceptions.cpp +++ b/test/component/test.component.format_specification_defect_exceptions/test.component.format_specification_defect_exceptions.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.format_specification_defect_exceptions project. * * Created: 13th April 2010 - * Updated: 13th April 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -25,20 +25,20 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include @@ -264,12 +264,6 @@ namespace - char const* x_(std::exception const& x) - { - return typeid(x).name(); - } - - static void test_1_0() { string_t sink; diff --git a/test/component/test.component.format_specification_defect_exceptions/vc6/test.component.format_specification_defect_exceptions.dsp b/test/component/test.component.format_specification_defect_exceptions/vc6/test.component.format_specification_defect_exceptions.dsp new file mode 100644 index 0000000..d2dd305 --- /dev/null +++ b/test/component/test.component.format_specification_defect_exceptions/vc6/test.component.format_specification_defect_exceptions.dsp @@ -0,0 +1,829 @@ +# Microsoft Developer Studio Project File - Name="test.component.format_specification_defect_exceptions" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.format_specification_defect_exceptions - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.format_specification_defect_exceptions.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.format_specification_defect_exceptions.mak" CFG="test.component.format_specification_defect_exceptions - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.format_specification_defect_exceptions.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.format_specification_defect_exceptions - Win32 Release" +# Name "test.component.format_specification_defect_exceptions - Win32 Debug" +# Name "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded" +# Name "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded" +# Name "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded DLL" +# Name "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded DLL" +# Name "test.component.format_specification_defect_exceptions - Win32 Unicode Release" +# Name "test.component.format_specification_defect_exceptions - Win32 Unicode Debug" +# Name "test.component.format_specification_defect_exceptions - Win32 Unicode Release Multithreaded" +# Name "test.component.format_specification_defect_exceptions - Win32 Unicode Debug Multithreaded" +# Name "test.component.format_specification_defect_exceptions - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.format_specification_defect_exceptions - Win32 Unicode Debug Multithreaded DLL" +# Name "test.component.format_specification_defect_exceptions - Win32 Release pseudoUNIX" +# Name "test.component.format_specification_defect_exceptions - Win32 Debug pseudoUNIX" +# Name "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded pseudoUNIX" +# Name "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.component.format_specification_defect_exceptions - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.component.format_specification_defect_exceptions - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.format_specification_defect_exceptions.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/component/test.component.inserter.hex/implicit_link.cpp b/test/component/test.component.inserter.hex/implicit_link.cpp index adb7f2c..4026d9b 100644 --- a/test/component/test.component.inserter.hex/implicit_link.cpp +++ b/test/component/test.component.inserter.hex/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.inserter.hex project. * * Created: 26th April 2008 - * Updated: 16th January 2010 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.inserter.hex/test.component.inserter.hex.cpp b/test/component/test.component.inserter.hex/test.component.inserter.hex.cpp index f407428..8afd796 100644 --- a/test/component/test.component.inserter.hex/test.component.inserter.hex.cpp +++ b/test/component/test.component.inserter.hex/test.component.inserter.hex.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.inserter.hex project. * * Created: 16th January 2010 - * Updated: 4th February 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -25,19 +25,19 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include @@ -50,19 +50,6 @@ namespace { -#if defined(STLSOFT_COMPILER_IS_MSVC) - const int CORRECT_DEC_PLACES = 511; -#elif defined(STLSOFT_COMPILER_IS_BORLAND) - const int CORRECT_DEC_PLACES = 12; - const int MAX_DEC_PLACES = 35; -#elif defined(STLSOFT_COMPILER_IS_GCC) - const int CORRECT_DEC_PLACES = 12; -#elif defined(STLSOFT_COMPILER_IS_MWERKS) - const int CORRECT_DEC_PLACES = 12; -#else - const int CORRECT_DEC_PLACES = 20; -#endif - } /* anonymous namespace */ /* ///////////////////////////////////////////////////////////////////////// diff --git a/test/component/test.component.inserter.hex/vc6/test.component.inserter.hex.dsp b/test/component/test.component.inserter.hex/vc6/test.component.inserter.hex.dsp new file mode 100644 index 0000000..d8d7621 --- /dev/null +++ b/test/component/test.component.inserter.hex/vc6/test.component.inserter.hex.dsp @@ -0,0 +1,898 @@ +# Microsoft Developer Studio Project File - Name="test.component.inserter.hex" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.inserter.hex - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.inserter.hex.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.inserter.hex.mak" CFG="test.component.inserter.hex - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.inserter.hex - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.hex - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.inserter.hex - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.hex - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.hex.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.inserter.hex - Win32 Release" +# Name "test.component.inserter.hex - Win32 Debug" +# Name "test.component.inserter.hex - Win32 Release Multithreaded" +# Name "test.component.inserter.hex - Win32 Debug Multithreaded" +# Name "test.component.inserter.hex - Win32 Release Multithreaded DLL" +# Name "test.component.inserter.hex - Win32 Debug Multithreaded DLL" +# Name "test.component.inserter.hex - Win32 Unicode Release" +# Name "test.component.inserter.hex - Win32 Unicode Debug" +# Name "test.component.inserter.hex - Win32 Unicode Release Multithreaded" +# Name "test.component.inserter.hex - Win32 Unicode Debug Multithreaded" +# Name "test.component.inserter.hex - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.inserter.hex - Win32 Unicode Debug Multithreaded DLL" +# Name "test.component.inserter.hex - Win32 Release pseudoUNIX" +# Name "test.component.inserter.hex - Win32 Debug pseudoUNIX" +# Name "test.component.inserter.hex - Win32 Release Multithreaded pseudoUNIX" +# Name "test.component.inserter.hex - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.component.inserter.hex - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.component.inserter.hex - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.inserter.hex.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\integral_printf_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\trim_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\string\snprintf.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_x.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\integer.hpp" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/component/test.component.inserter.integer/implicit_link.cpp b/test/component/test.component.inserter.integer/implicit_link.cpp index a6619da..b4c48a2 100644 --- a/test/component/test.component.inserter.integer/implicit_link.cpp +++ b/test/component/test.component.inserter.integer/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.inserter.integer project. * * Created: 26th April 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.inserter.integer/test.component.inserter.integer.cpp b/test/component/test.component.inserter.integer/test.component.inserter.integer.cpp index e4a8403..629b0e2 100644 --- a/test/component/test.component.inserter.integer/test.component.inserter.integer.cpp +++ b/test/component/test.component.inserter.integer/test.component.inserter.integer.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.inserter.integer project. * * Created: 26th April 2008 - * Updated: 8th March 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -25,20 +25,20 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include @@ -256,6 +256,8 @@ int main(int argc, char **argv) XTESTS_END_RUNNER_UPDATE_EXITCODE(&retCode); } + STLSOFT_SUPPRESS_UNUSED(CORRECT_DEC_PLACES); + return retCode; } diff --git a/test/component/test.component.inserter.integer/vc6/test.component.inserter.integer.dsp b/test/component/test.component.inserter.integer/vc6/test.component.inserter.integer.dsp new file mode 100644 index 0000000..1dbfce3 --- /dev/null +++ b/test/component/test.component.inserter.integer/vc6/test.component.inserter.integer.dsp @@ -0,0 +1,906 @@ +# Microsoft Developer Studio Project File - Name="test.component.inserter.integer" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.inserter.integer - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.inserter.integer.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.inserter.integer.mak" CFG="test.component.inserter.integer - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.inserter.integer - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.integer - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.inserter.integer - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.integer - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.integer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.inserter.integer - Win32 Release" +# Name "test.component.inserter.integer - Win32 Debug" +# Name "test.component.inserter.integer - Win32 Release Multithreaded" +# Name "test.component.inserter.integer - Win32 Debug Multithreaded" +# Name "test.component.inserter.integer - Win32 Release Multithreaded DLL" +# Name "test.component.inserter.integer - Win32 Debug Multithreaded DLL" +# Name "test.component.inserter.integer - Win32 Unicode Release" +# Name "test.component.inserter.integer - Win32 Unicode Debug" +# Name "test.component.inserter.integer - Win32 Unicode Release Multithreaded" +# Name "test.component.inserter.integer - Win32 Unicode Debug Multithreaded" +# Name "test.component.inserter.integer - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.inserter.integer - Win32 Unicode Debug Multithreaded DLL" +# Name "test.component.inserter.integer - Win32 Release pseudoUNIX" +# Name "test.component.inserter.integer - Win32 Debug pseudoUNIX" +# Name "test.component.inserter.integer - Win32 Release Multithreaded pseudoUNIX" +# Name "test.component.inserter.integer - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.component.inserter.integer - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.component.inserter.integer - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.inserter.integer.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\case_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\ctype_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\integral_printf_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\trim_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\integer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\string\snprintf.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\to_i.hpp" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/component/test.component.inserter.real/implicit_link.cpp b/test/component/test.component.inserter.real/implicit_link.cpp index 2560e1e..7dcbece 100644 --- a/test/component/test.component.inserter.real/implicit_link.cpp +++ b/test/component/test.component.inserter.real/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.inserter.real project. * * Created: 26th April 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.inserter.real/test.component.inserter.real.cpp b/test/component/test.component.inserter.real/test.component.inserter.real.cpp index a68564c..b72e5b7 100644 --- a/test/component/test.component.inserter.real/test.component.inserter.real.cpp +++ b/test/component/test.component.inserter.real/test.component.inserter.real.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.inserter.real project. * * Created: 26th April 2008 - * Updated: 14th October 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -25,18 +25,18 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include @@ -54,7 +54,8 @@ namespace #elif defined(STLSOFT_COMPILER_IS_BORLAND) const int CORRECT_DEC_PLACES = 12; const int MAX_DEC_PLACES = 35; -#elif defined(STLSOFT_COMPILER_IS_GCC) +#elif defined(STLSOFT_COMPILER_IS_CLANG) || \ + defined(STLSOFT_COMPILER_IS_GCC) const int CORRECT_DEC_PLACES = 12; #elif defined(STLSOFT_COMPILER_IS_MWERKS) const int CORRECT_DEC_PLACES = 12; @@ -738,7 +739,8 @@ static void test_2_5() ff::fmt(sink, FF_STR("{0}"), ff::real(12345.67, 10, 0, 'g')); -#if !defined(STLSOFT_COMPILER_IS_GCC) +#if !defined(STLSOFT_COMPILER_IS_GCC) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) XTESTS_TEST_INTEGER_EQUAL(10u, sink.size()); XTESTS_TEST_STRING_CONTAIN("1e+", sink); @@ -830,7 +832,8 @@ static void test_2_14() ff::fmt(sink, FF_STR("{0}"), ff::real(12345.67, 20, 0, 'g')); -#if !defined(STLSOFT_COMPILER_IS_GCC) +#if !defined(STLSOFT_COMPILER_IS_GCC) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) XTESTS_TEST_STRING_CONTAIN("1e+", sink); @@ -867,7 +870,8 @@ static void test_2_17() ff::fmt(sink, FF_STR("{0}"), ff::real(12345.67, 63, 7, 'g')); -#if !defined(STLSOFT_COMPILER_IS_GCC) +#if !defined(STLSOFT_COMPILER_IS_GCC) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) XTESTS_TEST_FLOATINGPOINT_EQUAL(12345.67, str_to_double(sink.c_str())); @@ -882,7 +886,8 @@ static void test_2_17() static void test_2_18() { -#if !defined(STLSOFT_COMPILER_IS_GCC) +#if !defined(STLSOFT_COMPILER_IS_GCC) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) std::basic_string sink; @@ -901,7 +906,8 @@ static void test_2_18() static void test_2_19() { -#if !defined(STLSOFT_COMPILER_IS_GCC) +#if !defined(STLSOFT_COMPILER_IS_GCC) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) std::basic_string sink; @@ -918,7 +924,8 @@ static void test_2_19() static void test_2_20() { -#if !defined(STLSOFT_COMPILER_IS_GCC) +#if !defined(STLSOFT_COMPILER_IS_GCC) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) std::basic_string sink; @@ -939,7 +946,8 @@ static void test_2_28() ff::fmt(sink, FF_STR("{0}"), ff::real(1e-10, 0, -1, 'g')); -#if !defined(STLSOFT_COMPILER_IS_GCC) +#if !defined(STLSOFT_COMPILER_IS_GCC) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) XTESTS_TEST_STRING_CONTAIN("1e-", sink); @@ -952,7 +960,8 @@ static void test_2_29() ff::fmt(sink, FF_STR("{0}"), ff::real(1e-10, 0, -1, 'g')); -#if !defined(STLSOFT_COMPILER_IS_GCC) +#if !defined(STLSOFT_COMPILER_IS_GCC) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) XTESTS_TEST_STRING_CONTAIN("1e-", sink); @@ -1142,7 +1151,8 @@ static void test_3_16() static void test_3_17() { #if !defined(STLSOFT_COMPILER_IS_GCC) && \ - !defined(STLSOFT_COMPILER_IS_MWERKS) + !defined(STLSOFT_COMPILER_IS_MWERKS) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) std::basic_string sink; @@ -1161,7 +1171,8 @@ static void test_3_17() static void test_3_18() { #if !defined(STLSOFT_COMPILER_IS_GCC) && \ - !defined(STLSOFT_COMPILER_IS_MWERKS) + !defined(STLSOFT_COMPILER_IS_MWERKS) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) std::basic_string sink; @@ -1180,7 +1191,8 @@ static void test_3_18() static void test_3_19() { #if !defined(STLSOFT_COMPILER_IS_GCC) && \ - !defined(STLSOFT_COMPILER_IS_MWERKS) + !defined(STLSOFT_COMPILER_IS_MWERKS) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) std::basic_string sink; @@ -1199,7 +1211,8 @@ static void test_3_19() static void test_3_20() { #if !defined(STLSOFT_COMPILER_IS_GCC) && \ - !defined(STLSOFT_COMPILER_IS_MWERKS) + !defined(STLSOFT_COMPILER_IS_MWERKS) && \ + !defined(STLSOFT_COMPILER_IS_CLANG) std::basic_string sink; diff --git a/test/component/test.component.inserter.real/vc6/test.component.inserter.real.dsp b/test/component/test.component.inserter.real/vc6/test.component.inserter.real.dsp new file mode 100644 index 0000000..a26e711 --- /dev/null +++ b/test/component/test.component.inserter.real/vc6/test.component.inserter.real.dsp @@ -0,0 +1,849 @@ +# Microsoft Developer Studio Project File - Name="test.component.inserter.real" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.inserter.real - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.inserter.real.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.inserter.real.mak" CFG="test.component.inserter.real - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.inserter.real - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.inserter.real - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.inserter.real - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.inserter.real - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.inserter.real.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.inserter.real - Win32 Release" +# Name "test.component.inserter.real - Win32 Debug" +# Name "test.component.inserter.real - Win32 Release Multithreaded" +# Name "test.component.inserter.real - Win32 Debug Multithreaded" +# Name "test.component.inserter.real - Win32 Release Multithreaded DLL" +# Name "test.component.inserter.real - Win32 Debug Multithreaded DLL" +# Name "test.component.inserter.real - Win32 Unicode Release" +# Name "test.component.inserter.real - Win32 Unicode Debug" +# Name "test.component.inserter.real - Win32 Unicode Release Multithreaded" +# Name "test.component.inserter.real - Win32 Unicode Debug Multithreaded" +# Name "test.component.inserter.real - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.inserter.real - Win32 Unicode Debug Multithreaded DLL" +# Name "test.component.inserter.real - Win32 Release pseudoUNIX" +# Name "test.component.inserter.real - Win32 Debug pseudoUNIX" +# Name "test.component.inserter.real - Win32 Release Multithreaded pseudoUNIX" +# Name "test.component.inserter.real - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.component.inserter.real - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.component.inserter.real - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.inserter.real.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\real.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\string\snprintf.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/component/test.component.iterators.format_iterator/implicit_link.cpp b/test/component/test.component.iterators.format_iterator/implicit_link.cpp index 514fd26..6f5f068 100644 --- a/test/component/test.component.iterators.format_iterator/implicit_link.cpp +++ b/test/component/test.component.iterators.format_iterator/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.iterators.format_iterator project. * * Created: 27th March 2010 - * Updated: 27th March 2010 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.iterators.format_iterator/test.component.iterators.format_iterator.cpp b/test/component/test.component.iterators.format_iterator/test.component.iterators.format_iterator.cpp index a6cb360..98d6499 100644 --- a/test/component/test.component.iterators.format_iterator/test.component.iterators.format_iterator.cpp +++ b/test/component/test.component.iterators.format_iterator/test.component.iterators.format_iterator.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.iterators.format_iterator project. * * Created: 26th April 2008 - * Updated: 27th March 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -25,18 +25,18 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/component/test.component.sink.CComBSTR/implicit_link.cpp b/test/component/test.component.sink.CComBSTR/implicit_link.cpp index 1a34f83..cedc234 100644 --- a/test/component/test.component.sink.CComBSTR/implicit_link.cpp +++ b/test/component/test.component.sink.CComBSTR/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.sink.CComBSTR project. * * Created: 14th September 2010 - * Updated: 14th September 2010 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.sink.CComBSTR/test.component.sink.CComBSTR.cpp b/test/component/test.component.sink.CComBSTR/test.component.sink.CComBSTR.cpp index 72c9de4..bc31f67 100644 --- a/test/component/test.component.sink.CComBSTR/test.component.sink.CComBSTR.cpp +++ b/test/component/test.component.sink.CComBSTR/test.component.sink.CComBSTR.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.sink.CComBSTR project. * * Created: 14th September 2010 - * Updated: 14th September 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -24,21 +24,21 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/component/test.component.sink.CComBSTR/vc6/test.component.sink.CComBSTR.dsp b/test/component/test.component.sink.CComBSTR/vc6/test.component.sink.CComBSTR.dsp new file mode 100644 index 0000000..04de0df --- /dev/null +++ b/test/component/test.component.sink.CComBSTR/vc6/test.component.sink.CComBSTR.dsp @@ -0,0 +1,703 @@ +# Microsoft Developer Studio Project File - Name="test.component.sink.CComBSTR" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.sink.CComBSTR - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.CComBSTR.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.CComBSTR.mak" CFG="test.component.sink.CComBSTR - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.sink.CComBSTR - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.CComBSTR - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.CComBSTR - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.CComBSTR - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.CComBSTR - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.CComBSTR - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.CComBSTR - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.CComBSTR - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.CComBSTR - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.CComBSTR - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.CComBSTR - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.CComBSTR - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.CComBSTR - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.CComBSTR.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.sink.CComBSTR - Win32 Release" +# Name "test.component.sink.CComBSTR - Win32 Debug" +# Name "test.component.sink.CComBSTR - Win32 Release Multithreaded" +# Name "test.component.sink.CComBSTR - Win32 Debug Multithreaded" +# Name "test.component.sink.CComBSTR - Win32 Release Multithreaded DLL" +# Name "test.component.sink.CComBSTR - Win32 Debug Multithreaded DLL" +# Name "test.component.sink.CComBSTR - Win32 Unicode Release" +# Name "test.component.sink.CComBSTR - Win32 Unicode Debug" +# Name "test.component.sink.CComBSTR - Win32 Unicode Release Multithreaded" +# Name "test.component.sink.CComBSTR - Win32 Unicode Debug Multithreaded" +# Name "test.component.sink.CComBSTR - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.sink.CComBSTR - Win32 Unicode Debug Multithreaded DLL" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.sink.CComBSTR.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\CComBSTR.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/component/test.component.sink.auto_buffer/implicit_link.cpp b/test/component/test.component.sink.auto_buffer/implicit_link.cpp index 0bbae0d..83b0258 100644 --- a/test/component/test.component.sink.auto_buffer/implicit_link.cpp +++ b/test/component/test.component.sink.auto_buffer/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.sink.auto_buffer project. * * Created: 26th April 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.sink.auto_buffer/test.component.sink.auto_buffer.cpp b/test/component/test.component.sink.auto_buffer/test.component.sink.auto_buffer.cpp index ec2b18d..9ff8b41 100644 --- a/test/component/test.component.sink.auto_buffer/test.component.sink.auto_buffer.cpp +++ b/test/component/test.component.sink.auto_buffer/test.component.sink.auto_buffer.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.sink.auto_buffer project. * * Created: 26th April 2008 - * Updated: 14th September 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -25,18 +25,18 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #define FASTFORMAT_NO_IMPLICIT_ACTION_SHIMS // VC++ 6 can't distinguish the auto_buffer action shim from the generic string one #include #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/component/test.component.sink.auto_buffer/vc6/test.component.sink.auto_buffer.dsp b/test/component/test.component.sink.auto_buffer/vc6/test.component.sink.auto_buffer.dsp new file mode 100644 index 0000000..c20989e --- /dev/null +++ b/test/component/test.component.sink.auto_buffer/vc6/test.component.sink.auto_buffer.dsp @@ -0,0 +1,897 @@ +# Microsoft Developer Studio Project File - Name="test.component.sink.auto_buffer" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.sink.auto_buffer - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.auto_buffer.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.auto_buffer.mak" CFG="test.component.sink.auto_buffer - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.sink.auto_buffer - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.auto_buffer - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.auto_buffer - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.auto_buffer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.sink.auto_buffer - Win32 Release" +# Name "test.component.sink.auto_buffer - Win32 Debug" +# Name "test.component.sink.auto_buffer - Win32 Release Multithreaded" +# Name "test.component.sink.auto_buffer - Win32 Debug Multithreaded" +# Name "test.component.sink.auto_buffer - Win32 Release Multithreaded DLL" +# Name "test.component.sink.auto_buffer - Win32 Debug Multithreaded DLL" +# Name "test.component.sink.auto_buffer - Win32 Unicode Release" +# Name "test.component.sink.auto_buffer - Win32 Unicode Debug" +# Name "test.component.sink.auto_buffer - Win32 Unicode Release Multithreaded" +# Name "test.component.sink.auto_buffer - Win32 Unicode Debug Multithreaded" +# Name "test.component.sink.auto_buffer - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.sink.auto_buffer - Win32 Unicode Debug Multithreaded DLL" +# Name "test.component.sink.auto_buffer - Win32 Release pseudoUNIX" +# Name "test.component.sink.auto_buffer - Win32 Debug pseudoUNIX" +# Name "test.component.sink.auto_buffer - Win32 Release Multithreaded pseudoUNIX" +# Name "test.component.sink.auto_buffer - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.component.sink.auto_buffer - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.component.sink.auto_buffer - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.sink.auto_buffer.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\memory\auto_buffer_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/component/test.component.sink.null/implicit_link.cpp b/test/component/test.component.sink.null/implicit_link.cpp index e57b021..10e6ee8 100644 --- a/test/component/test.component.sink.null/implicit_link.cpp +++ b/test/component/test.component.sink.null/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.sink.null project. * * Created: 10th December 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.sink.null/test.component.sink.null.cpp b/test/component/test.component.sink.null/test.component.sink.null.cpp index 4a45014..4643ff8 100644 --- a/test/component/test.component.sink.null/test.component.sink.null.cpp +++ b/test/component/test.component.sink.null/test.component.sink.null.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.sink.null project. * * Created: 10th December 2008 - * Updated: 14th September 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -25,17 +25,17 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/component/test.component.sink.null/vc6/test.component.sink.null.dsp b/test/component/test.component.sink.null/vc6/test.component.sink.null.dsp new file mode 100644 index 0000000..f336ec6 --- /dev/null +++ b/test/component/test.component.sink.null/vc6/test.component.sink.null.dsp @@ -0,0 +1,853 @@ +# Microsoft Developer Studio Project File - Name="test.component.sink.null" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.sink.null - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.null.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.null.mak" CFG="test.component.sink.null - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.sink.null - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.null - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.sink.null - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.null - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.null.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.sink.null - Win32 Release" +# Name "test.component.sink.null - Win32 Debug" +# Name "test.component.sink.null - Win32 Release Multithreaded" +# Name "test.component.sink.null - Win32 Debug Multithreaded" +# Name "test.component.sink.null - Win32 Release Multithreaded DLL" +# Name "test.component.sink.null - Win32 Debug Multithreaded DLL" +# Name "test.component.sink.null - Win32 Unicode Release" +# Name "test.component.sink.null - Win32 Unicode Debug" +# Name "test.component.sink.null - Win32 Unicode Release Multithreaded" +# Name "test.component.sink.null - Win32 Unicode Debug Multithreaded" +# Name "test.component.sink.null - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.sink.null - Win32 Unicode Debug Multithreaded DLL" +# Name "test.component.sink.null - Win32 Release pseudoUNIX" +# Name "test.component.sink.null - Win32 Debug pseudoUNIX" +# Name "test.component.sink.null - Win32 Release Multithreaded pseudoUNIX" +# Name "test.component.sink.null - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.component.sink.null - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.component.sink.null - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.sink.null.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\null.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/component/test.component.sink.ostream/implicit_link.cpp b/test/component/test.component.sink.ostream/implicit_link.cpp index 55d7717..0bba01a 100644 --- a/test/component/test.component.sink.ostream/implicit_link.cpp +++ b/test/component/test.component.sink.ostream/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.sink.ostream project. * * Created: 14th September 2010 - * Updated: 14th September 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXem Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.sink.ostream/test.component.sink.ostream.cpp b/test/component/test.component.sink.ostream/test.component.sink.ostream.cpp index 5058b04..29ca58b 100644 --- a/test/component/test.component.sink.ostream/test.component.sink.ostream.cpp +++ b/test/component/test.component.sink.ostream/test.component.sink.ostream.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.sink.ostream project. * * Created: 14th September 2010 - * Updated: 14th September 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,24 +20,24 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #define FASTFORMAT_NO_IMPLICIT_GENERIC_STRING_ACTION_SHIM #include #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #if defined(_MSC_VER) && \ diff --git a/test/component/test.component.sink.ostream/vc6/test.component.sink.ostream.dsp b/test/component/test.component.sink.ostream/vc6/test.component.sink.ostream.dsp new file mode 100644 index 0000000..0e3a7c3 --- /dev/null +++ b/test/component/test.component.sink.ostream/vc6/test.component.sink.ostream.dsp @@ -0,0 +1,937 @@ +# Microsoft Developer Studio Project File - Name="test.component.sink.ostream" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.sink.ostream - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.ostream.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.ostream.mak" CFG="test.component.sink.ostream - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.sink.ostream - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.ostream - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.sink.ostream - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FR /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.ostream - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.ostream.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.sink.ostream - Win32 Release" +# Name "test.component.sink.ostream - Win32 Debug" +# Name "test.component.sink.ostream - Win32 Release Multithreaded" +# Name "test.component.sink.ostream - Win32 Debug Multithreaded" +# Name "test.component.sink.ostream - Win32 Release Multithreaded DLL" +# Name "test.component.sink.ostream - Win32 Debug Multithreaded DLL" +# Name "test.component.sink.ostream - Win32 Unicode Release" +# Name "test.component.sink.ostream - Win32 Unicode Debug" +# Name "test.component.sink.ostream - Win32 Unicode Release Multithreaded" +# Name "test.component.sink.ostream - Win32 Unicode Debug Multithreaded" +# Name "test.component.sink.ostream - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.sink.ostream - Win32 Unicode Debug Multithreaded DLL" +# Name "test.component.sink.ostream - Win32 Release pseudoUNIX" +# Name "test.component.sink.ostream - Win32 Debug pseudoUNIX" +# Name "test.component.sink.ostream - Win32 Release Multithreaded pseudoUNIX" +# Name "test.component.sink.ostream - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.component.sink.ostream - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.component.sink.ostream - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.sink.ostream.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_signed_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_tokeniser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\truncation_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\truncation_test.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\file_lines.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\memory_mapped_file.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\memory_mapped_file.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/component/test.component.sink.string.fmt/implicit_link.cpp b/test/component/test.component.sink.string.fmt/implicit_link.cpp index 59b52ef..8f460af 100644 --- a/test/component/test.component.sink.string.fmt/implicit_link.cpp +++ b/test/component/test.component.sink.string.fmt/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.sink.string.fmt project. * * Created: 19th December 2007 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2007-2009, Synesis Software Pty Ltd. + * Copyright (c) 2007-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.sink.string.fmt/test.component.sink.string.fmt.cpp b/test/component/test.component.sink.string.fmt/test.component.sink.string.fmt.cpp index 70028ff..9505d9e 100644 --- a/test/component/test.component.sink.string.fmt/test.component.sink.string.fmt.cpp +++ b/test/component/test.component.sink.string.fmt/test.component.sink.string.fmt.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.sink.string.fmt project. * * Created: 19th December 2007 - * Updated: 14th September 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2007-2010, Synesis Software Pty Ltd. + * Copyright (c) 2007-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -24,21 +24,21 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/component/test.component.sink.string.fmt/vc6/test.component.sink.string.fmt.dsp b/test/component/test.component.sink.string.fmt/vc6/test.component.sink.string.fmt.dsp new file mode 100644 index 0000000..6566ee5 --- /dev/null +++ b/test/component/test.component.sink.string.fmt/vc6/test.component.sink.string.fmt.dsp @@ -0,0 +1,891 @@ +# Microsoft Developer Studio Project File - Name="test.component.sink.string.fmt" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.sink.string.fmt - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.string.fmt.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.string.fmt.mak" CFG="test.component.sink.string.fmt - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.sink.string.fmt - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.fmt - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.sink.string.fmt - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.fmt - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.fmt.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.sink.string.fmt - Win32 Release" +# Name "test.component.sink.string.fmt - Win32 Debug" +# Name "test.component.sink.string.fmt - Win32 Release Multithreaded" +# Name "test.component.sink.string.fmt - Win32 Debug Multithreaded" +# Name "test.component.sink.string.fmt - Win32 Release Multithreaded DLL" +# Name "test.component.sink.string.fmt - Win32 Debug Multithreaded DLL" +# Name "test.component.sink.string.fmt - Win32 Unicode Release" +# Name "test.component.sink.string.fmt - Win32 Unicode Debug" +# Name "test.component.sink.string.fmt - Win32 Unicode Release Multithreaded" +# Name "test.component.sink.string.fmt - Win32 Unicode Debug Multithreaded" +# Name "test.component.sink.string.fmt - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.sink.string.fmt - Win32 Unicode Debug Multithreaded DLL" +# Name "test.component.sink.string.fmt - Win32 Release pseudoUNIX" +# Name "test.component.sink.string.fmt - Win32 Debug pseudoUNIX" +# Name "test.component.sink.string.fmt - Win32 Release Multithreaded pseudoUNIX" +# Name "test.component.sink.string.fmt - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.component.sink.string.fmt - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.component.sink.string.fmt - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.sink.string.fmt.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\static_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/component/test.component.sink.string.write/implicit_link.cpp b/test/component/test.component.sink.string.write/implicit_link.cpp index 0add98f..2d2e47a 100644 --- a/test/component/test.component.sink.string.write/implicit_link.cpp +++ b/test/component/test.component.sink.string.write/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.sink.string.write project. * * Created: 19th December 2007 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2007-2009, Synesis Software Pty Ltd. + * Copyright (c) 2007-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.sink.string.write/test.component.sink.string.write.cpp b/test/component/test.component.sink.string.write/test.component.sink.string.write.cpp index 1f23725..db43a20 100644 --- a/test/component/test.component.sink.string.write/test.component.sink.string.write.cpp +++ b/test/component/test.component.sink.string.write/test.component.sink.string.write.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.sink.string.write project. * * Created: 19th December 2007 - * Updated: 14th September 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2007-2010, Synesis Software Pty Ltd. + * Copyright (c) 2007-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -24,20 +24,20 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/component/test.component.sink.string.write/vc6/test.component.sink.string.write.dsp b/test/component/test.component.sink.string.write/vc6/test.component.sink.string.write.dsp new file mode 100644 index 0000000..49242d5 --- /dev/null +++ b/test/component/test.component.sink.string.write/vc6/test.component.sink.string.write.dsp @@ -0,0 +1,849 @@ +# Microsoft Developer Studio Project File - Name="test.component.sink.string.write" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.sink.string.write - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.string.write.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.string.write.mak" CFG="test.component.sink.string.write - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.sink.string.write - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string.write - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.sink.string.write - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string.write - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string.write.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.sink.string.write - Win32 Release" +# Name "test.component.sink.string.write - Win32 Debug" +# Name "test.component.sink.string.write - Win32 Release Multithreaded" +# Name "test.component.sink.string.write - Win32 Debug Multithreaded" +# Name "test.component.sink.string.write - Win32 Release Multithreaded DLL" +# Name "test.component.sink.string.write - Win32 Debug Multithreaded DLL" +# Name "test.component.sink.string.write - Win32 Unicode Release" +# Name "test.component.sink.string.write - Win32 Unicode Debug" +# Name "test.component.sink.string.write - Win32 Unicode Release Multithreaded" +# Name "test.component.sink.string.write - Win32 Unicode Debug Multithreaded" +# Name "test.component.sink.string.write - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.sink.string.write - Win32 Unicode Debug Multithreaded DLL" +# Name "test.component.sink.string.write - Win32 Release pseudoUNIX" +# Name "test.component.sink.string.write - Win32 Debug pseudoUNIX" +# Name "test.component.sink.string.write - Win32 Release Multithreaded pseudoUNIX" +# Name "test.component.sink.string.write - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.component.sink.string.write - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.component.sink.string.write - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.sink.string.write.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/component/test.component.sink.string_array/implicit_link.cpp b/test/component/test.component.sink.string_array/implicit_link.cpp index b401da8..44860e6 100644 --- a/test/component/test.component.sink.string_array/implicit_link.cpp +++ b/test/component/test.component.sink.string_array/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the string_array project. * * Created: 24th December 2007 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2007-2009, Synesis Software Pty Ltd. + * Copyright (c) 2007-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/component/test.component.sink.string_array/test.component.sink.string_array.cpp b/test/component/test.component.sink.string_array/test.component.sink.string_array.cpp index 018cca8..691ef0b 100644 --- a/test/component/test.component.sink.string_array/test.component.sink.string_array.cpp +++ b/test/component/test.component.sink.string_array/test.component.sink.string_array.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.string_array project. * * Created: 24th December 2007 - * Updated: 14th September 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2007-2010, Synesis Software Pty Ltd. + * Copyright (c) 2007-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,21 +18,21 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/component/test.component.sink.string_array/vc6/test.component.sink.string_array.dsp b/test/component/test.component.sink.string_array/vc6/test.component.sink.string_array.dsp new file mode 100644 index 0000000..08bb404 --- /dev/null +++ b/test/component/test.component.sink.string_array/vc6/test.component.sink.string_array.dsp @@ -0,0 +1,841 @@ +# Microsoft Developer Studio Project File - Name="test.component.sink.string_array" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.component.sink.string_array - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.string_array.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.component.sink.string_array.mak" CFG="test.component.sink.string_array - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.component.sink.string_array - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.component.sink.string_array - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.component.sink.string_array - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.component.sink.string_array - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.component.sink.string_array.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.component.sink.string_array - Win32 Release" +# Name "test.component.sink.string_array - Win32 Debug" +# Name "test.component.sink.string_array - Win32 Release Multithreaded" +# Name "test.component.sink.string_array - Win32 Debug Multithreaded" +# Name "test.component.sink.string_array - Win32 Release Multithreaded DLL" +# Name "test.component.sink.string_array - Win32 Debug Multithreaded DLL" +# Name "test.component.sink.string_array - Win32 Unicode Release" +# Name "test.component.sink.string_array - Win32 Unicode Debug" +# Name "test.component.sink.string_array - Win32 Unicode Release Multithreaded" +# Name "test.component.sink.string_array - Win32 Unicode Debug Multithreaded" +# Name "test.component.sink.string_array - Win32 Unicode Release Multithreaded DLL" +# Name "test.component.sink.string_array - Win32 Unicode Debug Multithreaded DLL" +# Name "test.component.sink.string_array - Win32 Release pseudoUNIX" +# Name "test.component.sink.string_array - Win32 Debug pseudoUNIX" +# Name "test.component.sink.string_array - Win32 Release Multithreaded pseudoUNIX" +# Name "test.component.sink.string_array - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.component.sink.string_array - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.component.sink.string_array - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.component.sink.string_array.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/performance/fastformat.test.performance.vc10.sln b/test/performance/fastformat.test.performance.vc10.sln new file mode 100644 index 0000000..6907edd --- /dev/null +++ b/test/performance/fastformat.test.performance.vc10.sln @@ -0,0 +1,31 @@ + +Microsoft Visual Studio Solution File, Format Version 11.00 +# Visual Studio 2010 +Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "test.performance.decimal_integer", "test.performance.decimal_integer\vc10\test.performance.decimal_integer.vcxproj", "{909764AC-BC84-4662-8FBC-15A66621FDF4}" +EndProject +Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "test.performance.hexadecimal_integer", "test.performance.hexadecimal_integer\vc10\test.performance.hexadecimal_integer.vcxproj", "{56BEE9CA-1103-4E9E-AA45-65792F4F96B6}" +EndProject +Global + GlobalSection(SolutionConfigurationPlatforms) = preSolution + Debug Multithreaded|Win32 = Debug Multithreaded|Win32 + Release Multithreaded No_STLSoft_1_10|Win32 = Release Multithreaded No_STLSoft_1_10|Win32 + Release Multithreaded|Win32 = Release Multithreaded|Win32 + EndGlobalSection + GlobalSection(ProjectConfigurationPlatforms) = postSolution + {909764AC-BC84-4662-8FBC-15A66621FDF4}.Debug Multithreaded|Win32.ActiveCfg = Debug Multithreaded|Win32 + {909764AC-BC84-4662-8FBC-15A66621FDF4}.Debug Multithreaded|Win32.Build.0 = Debug Multithreaded|Win32 + {909764AC-BC84-4662-8FBC-15A66621FDF4}.Release Multithreaded No_STLSoft_1_10|Win32.ActiveCfg = Release Multithreaded No_STLSoft_1_10|Win32 + {909764AC-BC84-4662-8FBC-15A66621FDF4}.Release Multithreaded No_STLSoft_1_10|Win32.Build.0 = Release Multithreaded No_STLSoft_1_10|Win32 + {909764AC-BC84-4662-8FBC-15A66621FDF4}.Release Multithreaded|Win32.ActiveCfg = Release Multithreaded|Win32 + {909764AC-BC84-4662-8FBC-15A66621FDF4}.Release Multithreaded|Win32.Build.0 = Release Multithreaded|Win32 + {56BEE9CA-1103-4E9E-AA45-65792F4F96B6}.Debug Multithreaded|Win32.ActiveCfg = Debug Multithreaded|Win32 + {56BEE9CA-1103-4E9E-AA45-65792F4F96B6}.Debug Multithreaded|Win32.Build.0 = Debug Multithreaded|Win32 + {56BEE9CA-1103-4E9E-AA45-65792F4F96B6}.Release Multithreaded No_STLSoft_1_10|Win32.ActiveCfg = Release Multithreaded No_STLSoft_1_10|Win32 + {56BEE9CA-1103-4E9E-AA45-65792F4F96B6}.Release Multithreaded No_STLSoft_1_10|Win32.Build.0 = Release Multithreaded No_STLSoft_1_10|Win32 + {56BEE9CA-1103-4E9E-AA45-65792F4F96B6}.Release Multithreaded|Win32.ActiveCfg = Release Multithreaded|Win32 + {56BEE9CA-1103-4E9E-AA45-65792F4F96B6}.Release Multithreaded|Win32.Build.0 = Release Multithreaded|Win32 + EndGlobalSection + GlobalSection(SolutionProperties) = preSolution + HideSolutionNode = FALSE + EndGlobalSection +EndGlobal diff --git a/test/performance/fastformat.test.performance.vc6.dsw b/test/performance/fastformat.test.performance.vc6.dsw new file mode 100644 index 0000000..746fac3 --- /dev/null +++ b/test/performance/fastformat.test.performance.vc6.dsw @@ -0,0 +1,149 @@ +Microsoft Developer Studio Workspace File, Format Version 6.00 +# WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE! + +############################################################################### + +Project: "fastformat.core"=..\..\projects\core\vc6\fastformat.core.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ +}}} + +############################################################################### + +Project: "test.performance.api.parse_format"=.\test.performance.api.parse_format\vc6\test.performance.api.parse_format.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.performance.name_age_height"=.\test.performance.name_age_height\vc6\test.performance.name_age_height.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.performance.one_integer"=.\test.performance.one_integer\vc6\test.performance.one_integer.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.performance.one_pointer"=.\test.performance.one_pointer\vc6\test.performance.one_pointer.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.performance.overload.ex.1"=.\test.performance.overload.ex.1\vc6\test.performance.overload.ex.1.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.performance.scenario1"=.\test.performance.scenario1\vc6\test.performance.scenario1.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.performance.ten_strings_twice"=.\test.performance.ten_strings_twice\vc6\test.performance.ten_strings_twice.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.performance.three_strings_one_integer"=.\test.performance.three_strings_one_integer\vc6\test.performance.three_strings_one_integer.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Global: + +Package=<5> +{{{ +}}} + +Package=<3> +{{{ +}}} + +############################################################################### + diff --git a/test/performance/test.performance.api.parse_format/implicit_link.cpp b/test/performance/test.performance.api.parse_format/implicit_link.cpp index 38c1dfb..337a9c7 100644 --- a/test/performance/test.performance.api.parse_format/implicit_link.cpp +++ b/test/performance/test.performance.api.parse_format/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.performance.api.parse_format project. * * Created: 17th November 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXem Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/performance/test.performance.api.parse_format/test.performance.api.parse_format.cpp b/test/performance/test.performance.api.parse_format/test.performance.api.parse_format.cpp index f301c0b..5cd4479 100644 --- a/test/performance/test.performance.api.parse_format/test.performance.api.parse_format.cpp +++ b/test/performance/test.performance.api.parse_format/test.performance.api.parse_format.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.performance.api.parse_format project. * * Created: 17th November 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,23 +20,23 @@ //#define FF_PRSFMT_USE_SV_PARAM -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include // for find_next_token #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #if defined(_MSC_VER) && \ diff --git a/test/performance/test.performance.api.parse_format/vc6/test.performance.api.parse_format.dsp b/test/performance/test.performance.api.parse_format/vc6/test.performance.api.parse_format.dsp new file mode 100644 index 0000000..704b9d2 --- /dev/null +++ b/test/performance/test.performance.api.parse_format/vc6/test.performance.api.parse_format.dsp @@ -0,0 +1,1097 @@ +# Microsoft Developer Studio Project File - Name="test.performance.api.parse_format" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.performance.api.parse_format - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.performance.api.parse_format.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.performance.api.parse_format.mak" CFG="test.performance.api.parse_format - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.performance.api.parse_format - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.api.parse_format - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.performance.api.parse_format - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.api.parse_format - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.api.parse_format.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.performance.api.parse_format - Win32 Release" +# Name "test.performance.api.parse_format - Win32 Debug" +# Name "test.performance.api.parse_format - Win32 Release Multithreaded" +# Name "test.performance.api.parse_format - Win32 Debug Multithreaded" +# Name "test.performance.api.parse_format - Win32 Release Multithreaded DLL" +# Name "test.performance.api.parse_format - Win32 Debug Multithreaded DLL" +# Name "test.performance.api.parse_format - Win32 Unicode Release" +# Name "test.performance.api.parse_format - Win32 Unicode Debug" +# Name "test.performance.api.parse_format - Win32 Unicode Release Multithreaded" +# Name "test.performance.api.parse_format - Win32 Unicode Debug Multithreaded" +# Name "test.performance.api.parse_format - Win32 Unicode Release Multithreaded DLL" +# Name "test.performance.api.parse_format - Win32 Unicode Debug Multithreaded DLL" +# Name "test.performance.api.parse_format - Win32 Release pseudoUNIX" +# Name "test.performance.api.parse_format - Win32 Debug pseudoUNIX" +# Name "test.performance.api.parse_format - Win32 Release Multithreaded pseudoUNIX" +# Name "test.performance.api.parse_format - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.performance.api.parse_format - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.performance.api.parse_format - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.performance.api.parse_format.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\util\array_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\copy_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\null_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\shared_ptr.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\split_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\static_array.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\static_initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\time.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\trim_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "COMSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\string\bstr_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\comstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\guid.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\variant.hpp" +# End Source File +# End Group +# Begin Group "MFCSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\string\string\grab_cstring_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\mfcstl.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_map.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_variable_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string\dirent.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\memory\processheap_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\reals.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\threading.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\dirent.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\dlfcn.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/performance/test.performance.boost.karma/implicit_link.cpp b/test/performance/test.performance.boost.karma/implicit_link.cpp index 38c1dfb..337a9c7 100644 --- a/test/performance/test.performance.boost.karma/implicit_link.cpp +++ b/test/performance/test.performance.boost.karma/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.performance.api.parse_format project. * * Created: 17th November 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXem Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/performance/test.performance.decimal_integer/vc10/test.performance.decimal_integer.vcxproj b/test/performance/test.performance.decimal_integer/vc10/test.performance.decimal_integer.vcxproj new file mode 100644 index 0000000..1e567bb --- /dev/null +++ b/test/performance/test.performance.decimal_integer/vc10/test.performance.decimal_integer.vcxproj @@ -0,0 +1,146 @@ + + + + + Debug Multithreaded + Win32 + + + Release Multithreaded No_STLSoft_1_10 + Win32 + + + Release Multithreaded + Win32 + + + + {909764AC-BC84-4662-8FBC-15A66621FDF4} + Win32Proj + testperformancedecimal_integer + + + + Application + true + MultiByte + + + Application + false + true + MultiByte + + + Application + false + true + MultiByte + + + + + + + + + + + + + + + + true + $(SolutionDir)$(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + + + false + $(SolutionDir)$(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + + + false + $(SolutionDir)$(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + + + + + + Level4 + Disabled + WIN32;STLSOFT_ALLOW_OBSOLETE_1_9_IN_1_10;_DEBUG;_CONSOLE;%(PreprocessorDefinitions) + ../../../../include;$(STLSOFT_1_10)/include;$(STLSOFT)/include + true + + + Console + true + ../../../../lib + + + + + Level4 + + + MaxSpeed + true + true + WIN32;STLSOFT_ALLOW_OBSOLETE_1_9_IN_1_10;NDEBUG;_CONSOLE;%(PreprocessorDefinitions) + ../../../../include;$(STLSOFT_1_10)/include;$(STLSOFT)/include + true + + + Console + true + true + true + ../../../../lib + + + + + Level4 + + + MaxSpeed + true + true + WIN32;STLSOFT_ALLOW_OBSOLETE_1_9_IN_1_10;NDEBUG;_CONSOLE;%(PreprocessorDefinitions) + ../../../../include;$(STLSOFT)/include + true + + + Console + true + true + true + ../../../../lib + + + + + MultiThreaded + + + + + MultiThreaded + + + + + MultiThreadedDebug + + + + + + + + + + \ No newline at end of file diff --git a/test/performance/test.performance.decimal_integer/vc10/test.performance.decimal_integer.vcxproj.filters b/test/performance/test.performance.decimal_integer/vc10/test.performance.decimal_integer.vcxproj.filters new file mode 100644 index 0000000..48394c5 --- /dev/null +++ b/test/performance/test.performance.decimal_integer/vc10/test.performance.decimal_integer.vcxproj.filters @@ -0,0 +1,25 @@ + + + + + {4FC737F1-C7A5-4376-A066-2A32D752A2FF} + cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx + + + {93995380-89BD-4b04-88EB-625FBE52EBFB} + h;hpp;hxx;hm;inl;inc;xsd + + + {67DA6AB6-F800-4c08-8B7A-83BB121AAD01} + rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav;mfcribbon-ms + + + + + Source Files + + + Source Files + + + \ No newline at end of file diff --git a/test/performance/test.performance.hexadecimal_integer/vc10/test.performance.hexadecimal_integer.vcxproj b/test/performance/test.performance.hexadecimal_integer/vc10/test.performance.hexadecimal_integer.vcxproj new file mode 100644 index 0000000..1ed54f0 --- /dev/null +++ b/test/performance/test.performance.hexadecimal_integer/vc10/test.performance.hexadecimal_integer.vcxproj @@ -0,0 +1,146 @@ + + + + + Debug Multithreaded + Win32 + + + Release Multithreaded No_STLSoft_1_10 + Win32 + + + Release Multithreaded + Win32 + + + + {56BEE9CA-1103-4E9E-AA45-65792F4F96B6} + Win32Proj + testperformancehexadecimal_integer + + + + Application + true + MultiByte + + + Application + false + true + MultiByte + + + Application + false + true + MultiByte + + + + + + + + + + + + + + + + true + $(SolutionDir)$(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + + + false + $(SolutionDir)$(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + + + false + $(SolutionDir)$(Platform)\$(Configuration)\ + $(Platform)\$(Configuration)\ + + + + + + Level4 + Disabled + WIN32;STLSOFT_ALLOW_OBSOLETE_1_9_IN_1_10;_DEBUG;_CONSOLE;%(PreprocessorDefinitions) + ../../../../include;$(STLSOFT_1_10)/include;$(STLSOFT)/include + true + + + Console + true + ../../../../lib + + + + + Level4 + + + MaxSpeed + true + true + WIN32;STLSOFT_ALLOW_OBSOLETE_1_9_IN_1_10;NDEBUG;_CONSOLE;%(PreprocessorDefinitions) + ../../../../include;$(STLSOFT_1_10)/include;$(STLSOFT)/include + true + + + Console + true + true + true + ../../../../lib + + + + + Level4 + + + MaxSpeed + true + true + WIN32;STLSOFT_ALLOW_OBSOLETE_1_9_IN_1_10;NDEBUG;_CONSOLE;%(PreprocessorDefinitions) + ../../../../include;$(STLSOFT)/include + true + + + Console + true + true + true + ../../../../lib + + + + + MultiThreaded + + + + + MultiThreaded + + + + + MultiThreadedDebug + + + + + + + + + + \ No newline at end of file diff --git a/test/performance/test.performance.hexadecimal_integer/vc10/test.performance.hexadecimal_integer.vcxproj.filters b/test/performance/test.performance.hexadecimal_integer/vc10/test.performance.hexadecimal_integer.vcxproj.filters new file mode 100644 index 0000000..e15f49e --- /dev/null +++ b/test/performance/test.performance.hexadecimal_integer/vc10/test.performance.hexadecimal_integer.vcxproj.filters @@ -0,0 +1,25 @@ + + + + + {4FC737F1-C7A5-4376-A066-2A32D752A2FF} + cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx + + + {93995380-89BD-4b04-88EB-625FBE52EBFB} + h;hpp;hxx;hm;inl;inc;xsd + + + {67DA6AB6-F800-4c08-8B7A-83BB121AAD01} + rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav;mfcribbon-ms + + + + + Source Files + + + Source Files + + + \ No newline at end of file diff --git a/test/performance/test.performance.name_age_height/implicit_link.cpp b/test/performance/test.performance.name_age_height/implicit_link.cpp index 49ebd13..f85c7c3 100644 --- a/test/performance/test.performance.name_age_height/implicit_link.cpp +++ b/test/performance/test.performance.name_age_height/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.performance.name_age_height project. * * Created: 11th November 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/performance/test.performance.name_age_height/test.performance.name_age_height.cpp b/test/performance/test.performance.name_age_height/test.performance.name_age_height.cpp index 652c235..0a041f2 100644 --- a/test/performance/test.performance.name_age_height/test.performance.name_age_height.cpp +++ b/test/performance/test.performance.name_age_height/test.performance.name_age_height.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.performance.name_age_height project. * * Created: 19th September 2006 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2006-2009, Synesis Software Pty Ltd. + * Copyright (c) 2006-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,7 +20,13 @@ //#define FASTFORMAT_NO_USE_JIT_CACHE -/* FastFormat Header Files */ +/* STLSoft header files */ +#if defined(_WIN32) && \ + defined(_AFXDLL) +# include +#endif /* OS */ + +/* FastFormat header files */ #include #if defined(STLSOFT_COMPILER_IS_MSVC) && \ _MSC_VER >= 1400 @@ -87,7 +93,7 @@ # include #endif -/* STLSoft Header Files */ +/* STLSoft header files */ #if defined(_WIN32) && \ defined(_AFXDLL) # include @@ -106,26 +112,26 @@ #include #include -/* Boost Header Files */ +/* Boost header files */ #ifdef FASTFORMAT_PERFTEST_USE_BOOST # include #endif /* FASTFORMAT_PERFTEST_USE_BOOST */ -/* Loki Header Files */ +/* Loki header files */ #ifdef FASTFORMAT_PERFTEST_USE_LOKI # include #endif /* FASTFORMAT_PERFTEST_USE_LOKI */ -/* xTests Header Files */ +/* xTests header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include diff --git a/test/performance/test.performance.name_age_height/vc6/test.performance.name_age_height.dsp b/test/performance/test.performance.name_age_height/vc6/test.performance.name_age_height.dsp new file mode 100644 index 0000000..eaeae34 --- /dev/null +++ b/test/performance/test.performance.name_age_height/vc6/test.performance.name_age_height.dsp @@ -0,0 +1,1097 @@ +# Microsoft Developer Studio Project File - Name="test.performance.name_age_height" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.performance.name_age_height - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.performance.name_age_height.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.performance.name_age_height.mak" CFG="test.performance.name_age_height - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.performance.name_age_height - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.name_age_height - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.performance.name_age_height - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.name_age_height - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.name_age_height.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.performance.name_age_height - Win32 Release" +# Name "test.performance.name_age_height - Win32 Debug" +# Name "test.performance.name_age_height - Win32 Release Multithreaded" +# Name "test.performance.name_age_height - Win32 Debug Multithreaded" +# Name "test.performance.name_age_height - Win32 Release Multithreaded DLL" +# Name "test.performance.name_age_height - Win32 Debug Multithreaded DLL" +# Name "test.performance.name_age_height - Win32 Unicode Release" +# Name "test.performance.name_age_height - Win32 Unicode Debug" +# Name "test.performance.name_age_height - Win32 Unicode Release Multithreaded" +# Name "test.performance.name_age_height - Win32 Unicode Debug Multithreaded" +# Name "test.performance.name_age_height - Win32 Unicode Release Multithreaded DLL" +# Name "test.performance.name_age_height - Win32 Unicode Debug Multithreaded DLL" +# Name "test.performance.name_age_height - Win32 Release pseudoUNIX" +# Name "test.performance.name_age_height - Win32 Debug pseudoUNIX" +# Name "test.performance.name_age_height - Win32 Release Multithreaded pseudoUNIX" +# Name "test.performance.name_age_height - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.performance.name_age_height - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.performance.name_age_height - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.performance.name_age_height.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\util\array_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\copy_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\null_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\shared_ptr.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\split_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\static_array.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\static_initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\time.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\trim_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "COMSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\string\bstr_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\comstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\guid.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\variant.hpp" +# End Source File +# End Group +# Begin Group "MFCSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\string\string\grab_cstring_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\mfcstl.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_map.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_variable_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string\dirent.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\memory\processheap_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\reals.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\threading.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\dirent.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\dlfcn.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/performance/test.performance.one_integer/implicit_link.cpp b/test/performance/test.performance.one_integer/implicit_link.cpp index cd4a79a..5b0a1c2 100644 --- a/test/performance/test.performance.one_integer/implicit_link.cpp +++ b/test/performance/test.performance.one_integer/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.performance.one_integer project. * * Created: 11th November 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/performance/test.performance.one_integer/test.performance.one_integer.cpp b/test/performance/test.performance.one_integer/test.performance.one_integer.cpp index 2b9d3ce..2c5370a 100644 --- a/test/performance/test.performance.one_integer/test.performance.one_integer.cpp +++ b/test/performance/test.performance.one_integer/test.performance.one_integer.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.performance.one_integer project. * * Created: 9th September 2006 - * Updated: 26th June 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2006-2010, Synesis Software Pty Ltd. + * Copyright (c) 2006-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,7 +20,13 @@ //#define FASTFORMAT_NO_USE_JIT_CACHE -/* FastFormat Header Files */ +/* STLSoft header files */ +#if defined(_WIN32) && \ + defined(_AFXDLL) +# include +#endif /* OS */ + +/* FastFormat header files */ #include #if defined(STLSOFT_COMPILER_IS_MSVC) && \ _MSC_VER >= 1400 @@ -90,7 +96,7 @@ # include #endif -/* STLSoft Header Files */ +/* STLSoft header files */ #if defined(_WIN32) && \ defined(_AFXDLL) # include @@ -110,27 +116,27 @@ #include #include -/* Boost Header Files */ +/* Boost header files */ #ifdef FASTFORMAT_PERFTEST_USE_BOOST # include # include #endif /* FASTFORMAT_PERFTEST_USE_BOOST */ -/* Loki Header Files */ +/* Loki header files */ #ifdef FASTFORMAT_PERFTEST_USE_LOKI # include #endif /* FASTFORMAT_PERFTEST_USE_LOKI */ -/* xTests Header Files */ +/* xTests header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include @@ -760,7 +766,7 @@ static std::string with_STLSoftI2S(int value) { size_t n; char sz[21]; - char const* s = stlsoft::integer_to_string(&sz[0], STLSOFT_NUM_ELEMENTS(sz), value, n); + char const* s = stlsoft::integer_to_string(&sz[0], STLSOFT_NUM_ELEMENTS(sz), value, &n); return std::string(s, n); } diff --git a/test/performance/test.performance.one_integer/vc6/test.performance.one_integer.dsp b/test/performance/test.performance.one_integer/vc6/test.performance.one_integer.dsp new file mode 100644 index 0000000..ad8c07a --- /dev/null +++ b/test/performance/test.performance.one_integer/vc6/test.performance.one_integer.dsp @@ -0,0 +1,1097 @@ +# Microsoft Developer Studio Project File - Name="test.performance.one_integer" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.performance.one_integer - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.performance.one_integer.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.performance.one_integer.mak" CFG="test.performance.one_integer - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.performance.one_integer - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_integer - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.performance.one_integer - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_integer - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_integer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.performance.one_integer - Win32 Release" +# Name "test.performance.one_integer - Win32 Debug" +# Name "test.performance.one_integer - Win32 Release Multithreaded" +# Name "test.performance.one_integer - Win32 Debug Multithreaded" +# Name "test.performance.one_integer - Win32 Release Multithreaded DLL" +# Name "test.performance.one_integer - Win32 Debug Multithreaded DLL" +# Name "test.performance.one_integer - Win32 Unicode Release" +# Name "test.performance.one_integer - Win32 Unicode Debug" +# Name "test.performance.one_integer - Win32 Unicode Release Multithreaded" +# Name "test.performance.one_integer - Win32 Unicode Debug Multithreaded" +# Name "test.performance.one_integer - Win32 Unicode Release Multithreaded DLL" +# Name "test.performance.one_integer - Win32 Unicode Debug Multithreaded DLL" +# Name "test.performance.one_integer - Win32 Release pseudoUNIX" +# Name "test.performance.one_integer - Win32 Debug pseudoUNIX" +# Name "test.performance.one_integer - Win32 Release Multithreaded pseudoUNIX" +# Name "test.performance.one_integer - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.performance.one_integer - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.performance.one_integer - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.performance.one_integer.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\util\array_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\copy_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\null_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\shared_ptr.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\split_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\static_array.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\static_initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\time.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\trim_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "COMSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\string\bstr_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\comstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\guid.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\variant.hpp" +# End Source File +# End Group +# Begin Group "MFCSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\string\string\grab_cstring_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\mfcstl.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_map.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_variable_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string\dirent.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\memory\processheap_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\reals.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\threading.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\dirent.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\dlfcn.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/performance/test.performance.one_pointer/implicit_link.cpp b/test/performance/test.performance.one_pointer/implicit_link.cpp index b8953fe..7feb79e 100644 --- a/test/performance/test.performance.one_pointer/implicit_link.cpp +++ b/test/performance/test.performance.one_pointer/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.performance.one_pointer project. * * Created: 11th November 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/performance/test.performance.one_pointer/test.performance.one_pointer.cpp b/test/performance/test.performance.one_pointer/test.performance.one_pointer.cpp index 8849c52..5f2c76e 100644 --- a/test/performance/test.performance.one_pointer/test.performance.one_pointer.cpp +++ b/test/performance/test.performance.one_pointer/test.performance.one_pointer.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.performance.one_pointer project. * * Created: 19th September 2006 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2006-2009, Synesis Software Pty Ltd. + * Copyright (c) 2006-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,7 +20,13 @@ //#define FASTFORMAT_NO_USE_JIT_CACHE -/* FastFormat Header Files */ +/* STLSoft header files */ +#if defined(_WIN32) && \ + defined(_AFXDLL) +# include +#endif /* OS */ + +/* FastFormat header files */ #include #if defined(STLSOFT_COMPILER_IS_MSVC) && \ _MSC_VER >= 1400 @@ -108,7 +114,7 @@ # include #endif -/* STLSoft Header Files */ +/* STLSoft header files */ #if defined(_WIN32) && \ defined(_AFXDLL) # include @@ -127,26 +133,26 @@ #include #include -/* Boost Header Files */ +/* Boost header files */ #ifdef FASTFORMAT_PERFTEST_USE_BOOST # include #endif /* FASTFORMAT_PERFTEST_USE_BOOST */ -/* Loki Header Files */ +/* Loki header files */ #ifdef FASTFORMAT_PERFTEST_USE_LOKI # include #endif /* FASTFORMAT_PERFTEST_USE_LOKI */ -/* xTests Header Files */ +/* xTests header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include diff --git a/test/performance/test.performance.one_pointer/vc6/test.performance.one_pointer.dsp b/test/performance/test.performance.one_pointer/vc6/test.performance.one_pointer.dsp new file mode 100644 index 0000000..2a13ab3 --- /dev/null +++ b/test/performance/test.performance.one_pointer/vc6/test.performance.one_pointer.dsp @@ -0,0 +1,1097 @@ +# Microsoft Developer Studio Project File - Name="test.performance.one_pointer" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.performance.one_pointer - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.performance.one_pointer.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.performance.one_pointer.mak" CFG="test.performance.one_pointer - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.performance.one_pointer - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.one_pointer - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.performance.one_pointer - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.one_pointer - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.one_pointer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.performance.one_pointer - Win32 Release" +# Name "test.performance.one_pointer - Win32 Debug" +# Name "test.performance.one_pointer - Win32 Release Multithreaded" +# Name "test.performance.one_pointer - Win32 Debug Multithreaded" +# Name "test.performance.one_pointer - Win32 Release Multithreaded DLL" +# Name "test.performance.one_pointer - Win32 Debug Multithreaded DLL" +# Name "test.performance.one_pointer - Win32 Unicode Release" +# Name "test.performance.one_pointer - Win32 Unicode Debug" +# Name "test.performance.one_pointer - Win32 Unicode Release Multithreaded" +# Name "test.performance.one_pointer - Win32 Unicode Debug Multithreaded" +# Name "test.performance.one_pointer - Win32 Unicode Release Multithreaded DLL" +# Name "test.performance.one_pointer - Win32 Unicode Debug Multithreaded DLL" +# Name "test.performance.one_pointer - Win32 Release pseudoUNIX" +# Name "test.performance.one_pointer - Win32 Debug pseudoUNIX" +# Name "test.performance.one_pointer - Win32 Release Multithreaded pseudoUNIX" +# Name "test.performance.one_pointer - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.performance.one_pointer - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.performance.one_pointer - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.performance.one_pointer.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\util\array_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\copy_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\null_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\shared_ptr.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\split_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\static_array.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\static_initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\time.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\trim_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "COMSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\string\bstr_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\comstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\guid.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\variant.hpp" +# End Source File +# End Group +# Begin Group "MFCSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\string\string\grab_cstring_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\mfcstl.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_map.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_variable_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string\dirent.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\memory\processheap_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\reals.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\threading.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\dirent.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\dlfcn.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/performance/test.performance.overload.ex.1/implicit_link.cpp b/test/performance/test.performance.overload.ex.1/implicit_link.cpp index a8ea317..fd51deb 100644 --- a/test/performance/test.performance.overload.ex.1/implicit_link.cpp +++ b/test/performance/test.performance.overload.ex.1/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.performance.overload.ex.1 project. * * Created: 11th November 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/performance/test.performance.overload.ex.1/test.performance.overload.ex.1.cpp b/test/performance/test.performance.overload.ex.1/test.performance.overload.ex.1.cpp index dbf3290..66b35c0 100644 --- a/test/performance/test.performance.overload.ex.1/test.performance.overload.ex.1.cpp +++ b/test/performance/test.performance.overload.ex.1/test.performance.overload.ex.1.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.performance.overload.ex.1 project. * * Created: 19th October 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,7 +20,13 @@ //#define FASTFORMAT_NO_USE_JIT_CACHE -/* FastFormat Header Files */ +/* STLSoft header files */ +#if defined(_WIN32) && \ + defined(_AFXDLL) +# include +#endif /* OS */ + +/* FastFormat header files */ #include #if defined(STLSOFT_COMPILER_IS_MSVC) && \ _MSC_VER >= 1400 @@ -87,7 +93,7 @@ # include #endif -/* STLSoft Header Files */ +/* STLSoft header files */ #if defined(_WIN32) && \ defined(_AFXDLL) # include @@ -106,26 +112,26 @@ #include #include -/* Boost Header Files */ +/* Boost header files */ #ifdef FASTFORMAT_PERFTEST_USE_BOOST # include #endif /* FASTFORMAT_PERFTEST_USE_BOOST */ -/* Loki Header Files */ +/* Loki header files */ #ifdef FASTFORMAT_PERFTEST_USE_LOKI # include #endif /* FASTFORMAT_PERFTEST_USE_LOKI */ -/* xTests Header Files */ +/* xTests header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include diff --git a/test/performance/test.performance.overload.ex.1/vc6/test.performance.overload.ex.1.dsp b/test/performance/test.performance.overload.ex.1/vc6/test.performance.overload.ex.1.dsp new file mode 100644 index 0000000..7b9432c --- /dev/null +++ b/test/performance/test.performance.overload.ex.1/vc6/test.performance.overload.ex.1.dsp @@ -0,0 +1,1097 @@ +# Microsoft Developer Studio Project File - Name="test.performance.overload.ex.1" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.performance.overload.ex.1 - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.performance.overload.ex.1.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.performance.overload.ex.1.mak" CFG="test.performance.overload.ex.1 - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.performance.overload.ex.1 - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.overload.ex.1 - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Release" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Debug" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Unicode Release" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Unicode Debug" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.overload.ex.1 - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 1 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 1 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.overload.ex.1.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.performance.overload.ex.1 - Win32 Release" +# Name "test.performance.overload.ex.1 - Win32 Debug" +# Name "test.performance.overload.ex.1 - Win32 Release Multithreaded" +# Name "test.performance.overload.ex.1 - Win32 Debug Multithreaded" +# Name "test.performance.overload.ex.1 - Win32 Release Multithreaded DLL" +# Name "test.performance.overload.ex.1 - Win32 Debug Multithreaded DLL" +# Name "test.performance.overload.ex.1 - Win32 Unicode Release" +# Name "test.performance.overload.ex.1 - Win32 Unicode Debug" +# Name "test.performance.overload.ex.1 - Win32 Unicode Release Multithreaded" +# Name "test.performance.overload.ex.1 - Win32 Unicode Debug Multithreaded" +# Name "test.performance.overload.ex.1 - Win32 Unicode Release Multithreaded DLL" +# Name "test.performance.overload.ex.1 - Win32 Unicode Debug Multithreaded DLL" +# Name "test.performance.overload.ex.1 - Win32 Release pseudoUNIX" +# Name "test.performance.overload.ex.1 - Win32 Debug pseudoUNIX" +# Name "test.performance.overload.ex.1 - Win32 Release Multithreaded pseudoUNIX" +# Name "test.performance.overload.ex.1 - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.performance.overload.ex.1 - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.performance.overload.ex.1 - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.performance.overload.ex.1.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\util\array_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\copy_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\null_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\shared_ptr.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\split_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\static_array.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\static_initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\time.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\trim_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "COMSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\string\bstr_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\comstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\guid.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\variant.hpp" +# End Source File +# End Group +# Begin Group "MFCSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\string\string\grab_cstring_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\mfcstl.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_map.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_variable_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string\dirent.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\memory\processheap_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\reals.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\threading.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\dirent.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\dlfcn.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/performance/test.performance.scenario1/implicit_link.cpp b/test/performance/test.performance.scenario1/implicit_link.cpp index c6676e2..1c8580c 100644 --- a/test/performance/test.performance.scenario1/implicit_link.cpp +++ b/test/performance/test.performance.scenario1/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.performance.scenario1 project. * * Created: 11th November 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/performance/test.performance.scenario1/test.performance.scenario1.cpp b/test/performance/test.performance.scenario1/test.performance.scenario1.cpp index 5b1286b..9c54950 100644 --- a/test/performance/test.performance.scenario1/test.performance.scenario1.cpp +++ b/test/performance/test.performance.scenario1/test.performance.scenario1.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.performance.scenario1 project. * * Created: 19th September 2006 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2006-2009, Synesis Software Pty Ltd. + * Copyright (c) 2006-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,7 +20,13 @@ //#define FASTFORMAT_NO_USE_JIT_CACHE -/* FastFormat Header Files */ +/* STLSoft header files */ +#if defined(_WIN32) && \ + defined(_AFXDLL) +# include +#endif /* OS */ + +/* FastFormat header files */ #include #if defined(STLSOFT_COMPILER_IS_MSVC) && \ _MSC_VER >= 1400 @@ -87,7 +93,7 @@ # include #endif -/* STLSoft Header Files */ +/* STLSoft header files */ #if defined(_WIN32) && \ defined(_AFXDLL) # include @@ -106,26 +112,26 @@ #include #include -/* Boost Header Files */ +/* Boost header files */ #ifdef FASTFORMAT_PERFTEST_USE_BOOST # include #endif /* FASTFORMAT_PERFTEST_USE_BOOST */ -/* Loki Header Files */ +/* Loki header files */ #ifdef FASTFORMAT_PERFTEST_USE_LOKI # include #endif /* FASTFORMAT_PERFTEST_USE_LOKI */ -/* xTests Header Files */ +/* xTests header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include diff --git a/test/performance/test.performance.scenario1/vc6/test.performance.scenario1.dsp b/test/performance/test.performance.scenario1/vc6/test.performance.scenario1.dsp new file mode 100644 index 0000000..d1559ec --- /dev/null +++ b/test/performance/test.performance.scenario1/vc6/test.performance.scenario1.dsp @@ -0,0 +1,1097 @@ +# Microsoft Developer Studio Project File - Name="test.performance.scenario1" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.performance.scenario1 - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.performance.scenario1.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.performance.scenario1.mak" CFG="test.performance.scenario1 - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.performance.scenario1 - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.scenario1 - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.performance.scenario1 - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.scenario1 - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.scenario1.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.performance.scenario1 - Win32 Release" +# Name "test.performance.scenario1 - Win32 Debug" +# Name "test.performance.scenario1 - Win32 Release Multithreaded" +# Name "test.performance.scenario1 - Win32 Debug Multithreaded" +# Name "test.performance.scenario1 - Win32 Release Multithreaded DLL" +# Name "test.performance.scenario1 - Win32 Debug Multithreaded DLL" +# Name "test.performance.scenario1 - Win32 Unicode Release" +# Name "test.performance.scenario1 - Win32 Unicode Debug" +# Name "test.performance.scenario1 - Win32 Unicode Release Multithreaded" +# Name "test.performance.scenario1 - Win32 Unicode Debug Multithreaded" +# Name "test.performance.scenario1 - Win32 Unicode Release Multithreaded DLL" +# Name "test.performance.scenario1 - Win32 Unicode Debug Multithreaded DLL" +# Name "test.performance.scenario1 - Win32 Release pseudoUNIX" +# Name "test.performance.scenario1 - Win32 Debug pseudoUNIX" +# Name "test.performance.scenario1 - Win32 Release Multithreaded pseudoUNIX" +# Name "test.performance.scenario1 - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.performance.scenario1 - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.performance.scenario1 - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.performance.scenario1.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\util\array_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\copy_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\null_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\shared_ptr.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\split_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\static_array.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\static_initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\time.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\trim_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "COMSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\string\bstr_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\comstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\guid.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\variant.hpp" +# End Source File +# End Group +# Begin Group "MFCSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\string\string\grab_cstring_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\mfcstl.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_map.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_variable_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string\dirent.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\memory\processheap_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\reals.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\threading.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\dirent.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\dlfcn.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/performance/test.performance.ten_strings_twice/implicit_link.cpp b/test/performance/test.performance.ten_strings_twice/implicit_link.cpp index cd93402..c00bd7f 100644 --- a/test/performance/test.performance.ten_strings_twice/implicit_link.cpp +++ b/test/performance/test.performance.ten_strings_twice/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.performance.ten_strings_twice project. * * Created: 11th November 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/performance/test.performance.ten_strings_twice/test.performance.ten_strings_twice.cpp b/test/performance/test.performance.ten_strings_twice/test.performance.ten_strings_twice.cpp index a7db737..9558bed 100644 --- a/test/performance/test.performance.ten_strings_twice/test.performance.ten_strings_twice.cpp +++ b/test/performance/test.performance.ten_strings_twice/test.performance.ten_strings_twice.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.performance.ten_strings_twice project. * * Created: 19th September 2006 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2006-2009, Synesis Software Pty Ltd. + * Copyright (c) 2006-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,7 +20,13 @@ //#define FASTFORMAT_NO_USE_JIT_CACHE -/* FastFormat Header Files */ +/* STLSoft header files */ +#if defined(_WIN32) && \ + defined(_AFXDLL) +# include +#endif /* OS */ + +/* FastFormat header files */ #include #if defined(STLSOFT_COMPILER_IS_MSVC) && \ _MSC_VER >= 1400 @@ -87,7 +93,7 @@ # include #endif -/* STLSoft Header Files */ +/* STLSoft header files */ #if defined(_WIN32) && \ defined(_AFXDLL) # include @@ -106,26 +112,26 @@ #include #include -/* Boost Header Files */ +/* Boost header files */ #ifdef FASTFORMAT_PERFTEST_USE_BOOST # include #endif /* FASTFORMAT_PERFTEST_USE_BOOST */ -/* Loki Header Files */ +/* Loki header files */ #ifdef FASTFORMAT_PERFTEST_USE_LOKI # include #endif /* FASTFORMAT_PERFTEST_USE_LOKI */ -/* xTests Header Files */ +/* xTests header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include diff --git a/test/performance/test.performance.ten_strings_twice/vc6/test.performance.ten_strings_twice.dsp b/test/performance/test.performance.ten_strings_twice/vc6/test.performance.ten_strings_twice.dsp new file mode 100644 index 0000000..a4919f2 --- /dev/null +++ b/test/performance/test.performance.ten_strings_twice/vc6/test.performance.ten_strings_twice.dsp @@ -0,0 +1,1097 @@ +# Microsoft Developer Studio Project File - Name="test.performance.ten_strings_twice" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.performance.ten_strings_twice - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.performance.ten_strings_twice.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.performance.ten_strings_twice.mak" CFG="test.performance.ten_strings_twice - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.performance.ten_strings_twice - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.ten_strings_twice - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.ten_strings_twice - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.ten_strings_twice.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.performance.ten_strings_twice - Win32 Release" +# Name "test.performance.ten_strings_twice - Win32 Debug" +# Name "test.performance.ten_strings_twice - Win32 Release Multithreaded" +# Name "test.performance.ten_strings_twice - Win32 Debug Multithreaded" +# Name "test.performance.ten_strings_twice - Win32 Release Multithreaded DLL" +# Name "test.performance.ten_strings_twice - Win32 Debug Multithreaded DLL" +# Name "test.performance.ten_strings_twice - Win32 Unicode Release" +# Name "test.performance.ten_strings_twice - Win32 Unicode Debug" +# Name "test.performance.ten_strings_twice - Win32 Unicode Release Multithreaded" +# Name "test.performance.ten_strings_twice - Win32 Unicode Debug Multithreaded" +# Name "test.performance.ten_strings_twice - Win32 Unicode Release Multithreaded DLL" +# Name "test.performance.ten_strings_twice - Win32 Unicode Debug Multithreaded DLL" +# Name "test.performance.ten_strings_twice - Win32 Release pseudoUNIX" +# Name "test.performance.ten_strings_twice - Win32 Debug pseudoUNIX" +# Name "test.performance.ten_strings_twice - Win32 Release Multithreaded pseudoUNIX" +# Name "test.performance.ten_strings_twice - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.performance.ten_strings_twice - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.performance.ten_strings_twice - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.performance.ten_strings_twice.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\util\array_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\copy_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\null_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\shared_ptr.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\split_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\static_array.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\static_initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\time.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\trim_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "COMSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\string\bstr_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\comstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\guid.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\variant.hpp" +# End Source File +# End Group +# Begin Group "MFCSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\string\string\grab_cstring_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\mfcstl.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_map.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_variable_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string\dirent.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\memory\processheap_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\reals.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\threading.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\dirent.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\dlfcn.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/performance/test.performance.three_strings_one_integer/implicit_link.cpp b/test/performance/test.performance.three_strings_one_integer/implicit_link.cpp index 090c052..348f42d 100644 --- a/test/performance/test.performance.three_strings_one_integer/implicit_link.cpp +++ b/test/performance/test.performance.three_strings_one_integer/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.performance.three_strings_one_integer project. * * Created: 11th November 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/performance/test.performance.three_strings_one_integer/test.performance.three_strings_one_integer.cpp b/test/performance/test.performance.three_strings_one_integer/test.performance.three_strings_one_integer.cpp index 2455b24..4e43182 100644 --- a/test/performance/test.performance.three_strings_one_integer/test.performance.three_strings_one_integer.cpp +++ b/test/performance/test.performance.three_strings_one_integer/test.performance.three_strings_one_integer.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.performance.three_strings_one_integer project. * * Created: 19th September 2006 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2006-2009, Synesis Software Pty Ltd. + * Copyright (c) 2006-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,7 +20,13 @@ //#define FASTFORMAT_NO_USE_JIT_CACHE -/* FastFormat Header Files */ +/* STLSoft header files */ +#if defined(_WIN32) && \ + defined(_AFXDLL) +# include +#endif /* OS */ + +/* FastFormat header files */ #include #if defined(STLSOFT_COMPILER_IS_MSVC) && \ _MSC_VER >= 1400 @@ -87,7 +93,7 @@ # include #endif -/* STLSoft Header Files */ +/* STLSoft header files */ #if defined(_WIN32) && \ defined(_AFXDLL) # include @@ -106,26 +112,26 @@ #include #include -/* Boost Header Files */ +/* Boost header files */ #ifdef FASTFORMAT_PERFTEST_USE_BOOST # include #endif /* FASTFORMAT_PERFTEST_USE_BOOST */ -/* Loki Header Files */ +/* Loki header files */ #ifdef FASTFORMAT_PERFTEST_USE_LOKI # include #endif /* FASTFORMAT_PERFTEST_USE_LOKI */ -/* xTests Header Files */ +/* xTests header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include diff --git a/test/performance/test.performance.three_strings_one_integer/vc6/test.performance.three_strings_one_integer.dsp b/test/performance/test.performance.three_strings_one_integer/vc6/test.performance.three_strings_one_integer.dsp new file mode 100644 index 0000000..c90bb23 --- /dev/null +++ b/test/performance/test.performance.three_strings_one_integer/vc6/test.performance.three_strings_one_integer.dsp @@ -0,0 +1,1097 @@ +# Microsoft Developer Studio Project File - Name="test.performance.three_strings_one_integer" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.performance.three_strings_one_integer - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.performance.three_strings_one_integer.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.performance.three_strings_one_integer.mak" CFG="test.performance.three_strings_one_integer - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.performance.three_strings_one_integer - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.performance.three_strings_one_integer - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.performance.three_strings_one_integer - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.performance.three_strings_one_integer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.performance.three_strings_one_integer - Win32 Release" +# Name "test.performance.three_strings_one_integer - Win32 Debug" +# Name "test.performance.three_strings_one_integer - Win32 Release Multithreaded" +# Name "test.performance.three_strings_one_integer - Win32 Debug Multithreaded" +# Name "test.performance.three_strings_one_integer - Win32 Release Multithreaded DLL" +# Name "test.performance.three_strings_one_integer - Win32 Debug Multithreaded DLL" +# Name "test.performance.three_strings_one_integer - Win32 Unicode Release" +# Name "test.performance.three_strings_one_integer - Win32 Unicode Debug" +# Name "test.performance.three_strings_one_integer - Win32 Unicode Release Multithreaded" +# Name "test.performance.three_strings_one_integer - Win32 Unicode Debug Multithreaded" +# Name "test.performance.three_strings_one_integer - Win32 Unicode Release Multithreaded DLL" +# Name "test.performance.three_strings_one_integer - Win32 Unicode Debug Multithreaded DLL" +# Name "test.performance.three_strings_one_integer - Win32 Release pseudoUNIX" +# Name "test.performance.three_strings_one_integer - Win32 Debug pseudoUNIX" +# Name "test.performance.three_strings_one_integer - Win32 Release Multithreaded pseudoUNIX" +# Name "test.performance.three_strings_one_integer - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.performance.three_strings_one_integer - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.performance.three_strings_one_integer - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.performance.three_strings_one_integer.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\util\array_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\copy_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\null_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\shared_ptr.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\split_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\static_array.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\static_initialisers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\time.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\trim_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "COMSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\string\bstr_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\comstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\guid.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\comstl\shims\access\string\variant.hpp" +# End Source File +# End Group +# Begin Group "MFCSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\string\string\grab_cstring_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\mfcstl.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_map.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\system\environment_variable_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string\dirent.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\file_path_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\filesystem_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\filesystem\path.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\performance\performance_counter.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\memory\processheap_allocator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\system\system_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\reals.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\threading.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\dirent.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\dlfcn.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/scratch/fastformat.test.scratch.vc6.dsw b/test/scratch/fastformat.test.scratch.vc6.dsw new file mode 100644 index 0000000..777db60 --- /dev/null +++ b/test/scratch/fastformat.test.scratch.vc6.dsw @@ -0,0 +1,281 @@ +Microsoft Developer Studio Workspace File, Format Version 6.00 +# WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE! + +############################################################################### + +Project: "fastformat.core"=..\..\projects\core\vc6\fastformat.core.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ +}}} + +############################################################################### + +Project: "test.scratch.FILE"=.\test.scratch.FILE\vc6\test.scratch.FILE.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.bundle.properties"=.\test.scratch.bundle.properties\vc6\test.scratch.bundle.properties.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.ff_test_1"=.\test.scratch.ff_test_1\vc6\test.scratch.ff_test_1.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.format_alignment"=.\test.scratch.format_alignment\vc6\test.scratch.format_alignment.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.format_defects"=.\test.scratch.format_defects\vc6\test.scratch.format_defects.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.format_iterator"=.\test.scratch.format_iterator\vc6\test.scratch.format_iterator.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.format_on_callback"=.\test.scratch.format_on_callback\vc6\test.scratch.format_on_callback.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ +}}} + +############################################################################### + +Project: "test.scratch.ignore_missing_arguments_scope"=.\test.scratch.ignore_missing_arguments_scope\vc6\test.scratch.ignore_missing_arguments_scope.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.implicit_integer"=.\test.scratch.implicit_integer\vc6\test.scratch.implicit_integer.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.inserter.integer"=.\test.scratch.inserter.integer\vc6\test.scratch.inserter.integer.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.inserter.real"=.\test.scratch.inserter.real\vc6\test.scratch.inserter.real.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.overload.3.BSTR_out_parameter"=.\test.scratch.overload.3.BSTR_out_parameter\vc6\test.scratch.overload.3.BSTR_out_parameter.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.sink.WindowsMessageBox"=.\test.scratch.sink.WindowsMessageBox\vc6\test.scratch.sink.WindowsMessageBox.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.sink.auto_buffer"=.\test.scratch.sink.auto_buffer\vc6\test.scratch.sink.auto_buffer.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.sink.vectored_file"=.\test.scratch.sink.vectored_file\vc6\test.scratch.sink.vectored_file.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.sinks.shim_string"=.\test.scratch.sinks.shim_string\vc6\test.scratch.sinks.shim_string.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.scratch.speech"=.\test.scratch.speech\vc6\test.scratch.speech.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Global: + +Package=<5> +{{{ +}}} + +Package=<3> +{{{ +}}} + +############################################################################### + diff --git a/test/scratch/test.scratch.FILE/test.scratch.FILE.cpp b/test/scratch/test.scratch.FILE/test.scratch.FILE.cpp index e421229..eecda06 100644 --- a/test/scratch/test.scratch.FILE/test.scratch.FILE.cpp +++ b/test/scratch/test.scratch.FILE/test.scratch.FILE.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.FILE project. * * Created: 24th September 2006 - * Updated: 12th February 2012 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2006-2012, Synesis Software Pty Ltd. + * Copyright (c) 2006-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -35,7 +35,7 @@ #endif -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include @@ -57,15 +57,15 @@ # endif #endif -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/scratch/test.scratch.ff_test_1/implicit_link.cpp b/test/scratch/test.scratch.ff_test_1/implicit_link.cpp index a1c52fd..9d6ad00 100644 --- a/test/scratch/test.scratch.ff_test_1/implicit_link.cpp +++ b/test/scratch/test.scratch.ff_test_1/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.ff_test_1 project. * * Created: 31st August 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEM Header Files */ +/* UNIXEM header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.ff_test_1/test.scratch.ff_test_1.cpp b/test/scratch/test.scratch.ff_test_1/test.scratch.ff_test_1.cpp index 4451a4c..e8d2827 100644 --- a/test/scratch/test.scratch.ff_test_1/test.scratch.ff_test_1.cpp +++ b/test/scratch/test.scratch.ff_test_1/test.scratch.ff_test_1.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.ff_test_1 project. * * Created: 15th September 2006 - * Updated: 21st June 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2006-2010, Synesis Software Pty Ltd. + * Copyright (c) 2006-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,12 +18,12 @@ * ////////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #if defined(_WIN32) && \ defined(_AFXDLL) - /* MFCSTL Header Files */ + /* MFCSTL header files */ # include # include # include @@ -36,33 +36,33 @@ # include #endif /* OS */ -/* Open-RJ Header Files */ +/* Open-RJ header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include #include #include -/* PlatformSTL Header Files */ +/* PlatformSTL header files */ #include #include #include -/* COMSTL Header Files */ +/* COMSTL header files */ #if defined(PLATFORMSTL_OS_IS_WINDOWS) # include #endif /* OS */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include diff --git a/test/scratch/test.scratch.format_alignment/implicit_link.cpp b/test/scratch/test.scratch.format_alignment/implicit_link.cpp index c451eb3..4a48c59 100644 --- a/test/scratch/test.scratch.format_alignment/implicit_link.cpp +++ b/test/scratch/test.scratch.format_alignment/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.format_alignment project. * * Created: 1st June 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEM Header Files */ +/* UNIXEM header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.format_alignment/test.scratch.format_alignment.cpp b/test/scratch/test.scratch.format_alignment/test.scratch.format_alignment.cpp index 81eeb9c..031351f 100644 --- a/test/scratch/test.scratch.format_alignment/test.scratch.format_alignment.cpp +++ b/test/scratch/test.scratch.format_alignment/test.scratch.format_alignment.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.format_alignment project. * * Created: 1st June 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -23,18 +23,18 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/scratch/test.scratch.format_defects/implicit_link.cpp b/test/scratch/test.scratch.format_defects/implicit_link.cpp index 6f4cc70..0be70fe 100644 --- a/test/scratch/test.scratch.format_defects/implicit_link.cpp +++ b/test/scratch/test.scratch.format_defects/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.format_defects project. * * Created: 2nd December 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.format_defects/test.scratch.format_defects.cpp b/test/scratch/test.scratch.format_defects/test.scratch.format_defects.cpp index 864bf71..477c72d 100644 --- a/test/scratch/test.scratch.format_defects/test.scratch.format_defects.cpp +++ b/test/scratch/test.scratch.format_defects/test.scratch.format_defects.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.format_defects project. * * Created: 1st June 2008 - * Updated: 19th January 2011 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2011, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -21,20 +21,20 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/scratch/test.scratch.format_defects/vc6/test.scratch.format_defects.dsp b/test/scratch/test.scratch.format_defects/vc6/test.scratch.format_defects.dsp index 6a484dc..ad0e856 100644 --- a/test/scratch/test.scratch.format_defects/vc6/test.scratch.format_defects.dsp +++ b/test/scratch/test.scratch.format_defects/vc6/test.scratch.format_defects.dsp @@ -7,20 +7,20 @@ CFG=test.scratch.format_defects - Win32 Debug pseudoUNIX !MESSAGE This is not a valid makefile. To build this project using NMAKE, !MESSAGE use the Export Makefile command and run -!MESSAGE +!MESSAGE !MESSAGE NMAKE /f "test.scratch.format_defects.mak". -!MESSAGE +!MESSAGE !MESSAGE You can specify a configuration when running NMAKE !MESSAGE by defining the macro CFG on the command line. For example: -!MESSAGE +!MESSAGE !MESSAGE NMAKE /f "test.scratch.format_defects.mak" CFG="test.scratch.format_defects - Win32 Debug pseudoUNIX" -!MESSAGE +!MESSAGE !MESSAGE Possible choices for configuration are: -!MESSAGE +!MESSAGE !MESSAGE "test.scratch.format_defects - Win32 Release" (based on "Win32 (x86) Application") !MESSAGE "test.scratch.format_defects - Win32 Debug" (based on "Win32 (x86) Application") !MESSAGE "test.scratch.format_defects - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") -!MESSAGE +!MESSAGE # Begin Project # PROP AllowPerConfigDependencies 0 @@ -109,7 +109,7 @@ LINK32=link.exe # ADD LINK32 kernel32.lib advapi32.lib /nologo /version:1.0 /subsystem:console /map /debug /machine:I386 /out:"Debug/test.scratch.format_defects.debug.exe" /pdbtype:sept /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(UNIXEM)/lib" # SUBTRACT LINK32 /pdb:none -!ENDIF +!ENDIF # Begin Target @@ -255,6 +255,10 @@ SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" # End Source File # Begin Source File +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" # End Source File # Begin Source File @@ -301,10 +305,6 @@ SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" # End Source File -# Begin Source File - -SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" -# End Source File # End Group # Begin Group "PlatformSTL" diff --git a/test/scratch/test.scratch.format_iterator/implicit_link.cpp b/test/scratch/test.scratch.format_iterator/implicit_link.cpp index a03b512..7add588 100644 --- a/test/scratch/test.scratch.format_iterator/implicit_link.cpp +++ b/test/scratch/test.scratch.format_iterator/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.format_iterator project. * * Created: 20th May 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.format_iterator/test.scratch.format_iterator.cpp b/test/scratch/test.scratch.format_iterator/test.scratch.format_iterator.cpp index bc3120b..e54fc79 100644 --- a/test/scratch/test.scratch.format_iterator/test.scratch.format_iterator.cpp +++ b/test/scratch/test.scratch.format_iterator/test.scratch.format_iterator.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.format_iterator project. * * Created: 20th May 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,31 +18,31 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* PlatformSTL Header Files */ +/* PlatformSTL header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #if defined(_WIN32) || \ defined(_WIN64) # include #endif /* Win32 || Win64 */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/scratch/test.scratch.implicit_integer/implicit_link.cpp b/test/scratch/test.scratch.implicit_integer/implicit_link.cpp index 228563d..90b6527 100644 --- a/test/scratch/test.scratch.implicit_integer/implicit_link.cpp +++ b/test/scratch/test.scratch.implicit_integer/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.implicit_integer project. * * Created: 1st June 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEM Header Files */ +/* UNIXEM header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.implicit_integer/test.scratch.implicit_integer.cpp b/test/scratch/test.scratch.implicit_integer/test.scratch.implicit_integer.cpp index a711307..9945281 100644 --- a/test/scratch/test.scratch.implicit_integer/test.scratch.implicit_integer.cpp +++ b/test/scratch/test.scratch.implicit_integer/test.scratch.implicit_integer.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.implicit_integer project. * * Created: 1st June 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,18 +20,18 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/scratch/test.scratch.inserter.integer/implicit_link.cpp b/test/scratch/test.scratch.inserter.integer/implicit_link.cpp index 5de32e3..e07bd5d 100644 --- a/test/scratch/test.scratch.inserter.integer/implicit_link.cpp +++ b/test/scratch/test.scratch.inserter.integer/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.inserter.integer project. * * Created: 1st March 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.inserter.integer/test.scratch.inserter.integer.cpp b/test/scratch/test.scratch.inserter.integer/test.scratch.inserter.integer.cpp index d6cb258..68482ad 100644 --- a/test/scratch/test.scratch.inserter.integer/test.scratch.inserter.integer.cpp +++ b/test/scratch/test.scratch.inserter.integer/test.scratch.inserter.integer.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.inserter.integer project. * * Created: 1st March 2009 - * Updated: 8th March 2010 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009-2010, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,22 +18,22 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #if defined(_WIN32) || \ defined(_WIN64) # include #endif /* Win32 || Win64 */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #if 0 @@ -44,7 +44,7 @@ #include #endif /* 0 */ -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include diff --git a/test/scratch/test.scratch.inserter.real/implicit_link.cpp b/test/scratch/test.scratch.inserter.real/implicit_link.cpp index 7a1cf95..8362ead 100644 --- a/test/scratch/test.scratch.inserter.real/implicit_link.cpp +++ b/test/scratch/test.scratch.inserter.real/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.inserter.real project. * * Created: 1st March 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.inserter.real/test.scratch.inserter.real.cpp b/test/scratch/test.scratch.inserter.real/test.scratch.inserter.real.cpp index 6e8dc4e..f09b8af 100644 --- a/test/scratch/test.scratch.inserter.real/test.scratch.inserter.real.cpp +++ b/test/scratch/test.scratch.inserter.real/test.scratch.inserter.real.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.inserter.real project. * * Created: 1st March 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,7 +18,7 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include @@ -26,16 +26,16 @@ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #if defined(_WIN32) || \ defined(_WIN64) # include #endif /* Win32 || Win64 */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #if 0 @@ -46,7 +46,7 @@ #include #endif /* 0 */ -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/scratch/test.scratch.overload.3.BSTR_out_parameter/implicit_link.cpp b/test/scratch/test.scratch.overload.3.BSTR_out_parameter/implicit_link.cpp index 15f8451..df1890e 100644 --- a/test/scratch/test.scratch.overload.3.BSTR_out_parameter/implicit_link.cpp +++ b/test/scratch/test.scratch.overload.3.BSTR_out_parameter/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.overload.3.BSTR_out_parameter project. * * Created: 4th January 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.overload.3.BSTR_out_parameter/test.scratch.overload.3.BSTR_out_parameter.cpp b/test/scratch/test.scratch.overload.3.BSTR_out_parameter/test.scratch.overload.3.BSTR_out_parameter.cpp index 245c47f..eaa28e6 100644 --- a/test/scratch/test.scratch.overload.3.BSTR_out_parameter/test.scratch.overload.3.BSTR_out_parameter.cpp +++ b/test/scratch/test.scratch.overload.3.BSTR_out_parameter/test.scratch.overload.3.BSTR_out_parameter.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.overload.3.BSTR_out_parameter project. * * Created: 4th January 2009 - * Updated: 1st May 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -19,24 +19,24 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include -/* Windows Header Files */ +/* Windows header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/scratch/test.scratch.sink.WindowsConsole/implicit_link.cpp b/test/scratch/test.scratch.sink.WindowsConsole/implicit_link.cpp index 3849a58..b067f87 100644 --- a/test/scratch/test.scratch.sink.WindowsConsole/implicit_link.cpp +++ b/test/scratch/test.scratch.sink.WindowsConsole/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.sink.WindowsConsole project. * * Created: 6th January 2011 - * Updated: 6th January 2011 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2011, Synesis Software Pty Ltd. + * Copyright (c) 2011-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,7 +18,7 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/scratch/test.scratch.sink.WindowsConsole/test.scratch.sink.WindowsConsole.cpp b/test/scratch/test.scratch.sink.WindowsConsole/test.scratch.sink.WindowsConsole.cpp index 6aed2bb..1f84b5c 100644 --- a/test/scratch/test.scratch.sink.WindowsConsole/test.scratch.sink.WindowsConsole.cpp +++ b/test/scratch/test.scratch.sink.WindowsConsole/test.scratch.sink.WindowsConsole.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.sink.WindowsConsole project. * * Created: 6th January 2011 - * Updated: 6th January 2011 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2011, Synesis Software Pty Ltd. + * Copyright (c) 2011-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,18 +18,18 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/scratch/test.scratch.sink.WindowsMessageBox/implicit_link.cpp b/test/scratch/test.scratch.sink.WindowsMessageBox/implicit_link.cpp index 5db1878..296b1cf 100644 --- a/test/scratch/test.scratch.sink.WindowsMessageBox/implicit_link.cpp +++ b/test/scratch/test.scratch.sink.WindowsMessageBox/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.sink.WindowsMessageBox project. * * Created: 4th January 2009 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.sink.WindowsMessageBox/test.scratch.sink.WindowsMessageBox.cpp b/test/scratch/test.scratch.sink.WindowsMessageBox/test.scratch.sink.WindowsMessageBox.cpp index a67c81b..dbbf86f 100644 --- a/test/scratch/test.scratch.sink.WindowsMessageBox/test.scratch.sink.WindowsMessageBox.cpp +++ b/test/scratch/test.scratch.sink.WindowsMessageBox/test.scratch.sink.WindowsMessageBox.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.sink.WindowsMessageBox project. * * Created: 4th January 2009 - * Updated: 5th February 2012 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2009-2012, Synesis Software Pty Ltd. + * Copyright (c) 2009-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,25 +18,25 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include -/* Windows Header Files */ +/* Windows header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/scratch/test.scratch.sink.WindowsMessageBox/vc6/test.scratch.sink.WindowsMessageBox.dsp b/test/scratch/test.scratch.sink.WindowsMessageBox/vc6/test.scratch.sink.WindowsMessageBox.dsp index 355c8e3..52a3dca 100644 --- a/test/scratch/test.scratch.sink.WindowsMessageBox/vc6/test.scratch.sink.WindowsMessageBox.dsp +++ b/test/scratch/test.scratch.sink.WindowsMessageBox/vc6/test.scratch.sink.WindowsMessageBox.dsp @@ -223,10 +223,22 @@ SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" # End Source File # Begin Source File +SOURCE="$(STLSOFT)\include\stlsoft\internal\head_version.h" +# End Source File +# Begin Source File + SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" # End Source File # Begin Source File +SOURCE="$(STLSOFT)\include\stlsoft\util\integral_printf_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_character_type.hpp" +# End Source File +# Begin Source File + SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" # End Source File # Begin Source File @@ -239,6 +251,10 @@ SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" # End Source File # Begin Source File +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_signed_type.hpp" +# End Source File +# Begin Source File + SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" # End Source File # Begin Source File @@ -247,6 +263,10 @@ SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" # End Source File # Begin Source File +SOURCE="$(STLSOFT)\include\stlsoft\internal\lead_version.h" +# End Source File +# Begin Source File + SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" # End Source File # Begin Source File diff --git a/test/scratch/test.scratch.sink.auto_buffer/implicit_link.cpp b/test/scratch/test.scratch.sink.auto_buffer/implicit_link.cpp index d5d23a8..f8b1f73 100644 --- a/test/scratch/test.scratch.sink.auto_buffer/implicit_link.cpp +++ b/test/scratch/test.scratch.sink.auto_buffer/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.component.sink.auto_buffer project. * * Created: 21st April 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,13 +18,13 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* shwild Header Files */ +/* shwild header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.sink.auto_buffer/test.scratch.sink.auto_buffer.cpp b/test/scratch/test.scratch.sink.auto_buffer/test.scratch.sink.auto_buffer.cpp index f9b7d2d..8f8f8f5 100644 --- a/test/scratch/test.scratch.sink.auto_buffer/test.scratch.sink.auto_buffer.cpp +++ b/test/scratch/test.scratch.sink.auto_buffer/test.scratch.sink.auto_buffer.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.component.sink.auto_buffer project. * * Created: 21st April 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,25 +18,25 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #define FASTFORMAT_NO_IMPLICIT_ACTION_SHIMS // VC++ 6 can't distinguish the auto_buffer action shim from the generic string one #include #include -/* shwild Header Files */ +/* shwild header files */ #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* PlatformSTL Header Files */ +/* PlatformSTL header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #if 0 #include @@ -57,7 +57,7 @@ namespace std } #endif /* __WATCOMC__ */ -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/scratch/test.scratch.sink.vectored_file/implicit_link.cpp b/test/scratch/test.scratch.sink.vectored_file/implicit_link.cpp index 135a6c7..a8d6219 100644 --- a/test/scratch/test.scratch.sink.vectored_file/implicit_link.cpp +++ b/test/scratch/test.scratch.sink.vectored_file/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.vectored_file_sink project. * * Created: 31st August 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.sink.vectored_file/test.scratch.sink.vectored_file.cpp b/test/scratch/test.scratch.sink.vectored_file/test.scratch.sink.vectored_file.cpp index abb1604..84c977b 100644 --- a/test/scratch/test.scratch.sink.vectored_file/test.scratch.sink.vectored_file.cpp +++ b/test/scratch/test.scratch.sink.vectored_file/test.scratch.sink.vectored_file.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.sink.vectored_file project. * * Created: 28th October 2006 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2006-2009, Synesis Software Pty Ltd. + * Copyright (c) 2006-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,26 +18,26 @@ * ////////////////////////////////////////////////////////////////////////// */ -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_WINDOWS) # include #endif /* PLATFORMSTL_OS_IS_WINDOWS */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include #include diff --git a/test/scratch/test.scratch.sinks.shim_string/implicit_link.cpp b/test/scratch/test.scratch.sinks.shim_string/implicit_link.cpp index 6e82eb6..d39859d 100644 --- a/test/scratch/test.scratch.sinks.shim_string/implicit_link.cpp +++ b/test/scratch/test.scratch.sinks.shim_string/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.sinks.shim_string project. * * Created: 12th November 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,10 +18,10 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) diff --git a/test/scratch/test.scratch.sinks.shim_string/test.scratch.sinks.shim_string.cpp b/test/scratch/test.scratch.sinks.shim_string/test.scratch.sinks.shim_string.cpp index 9787a04..732679b 100644 --- a/test/scratch/test.scratch.sinks.shim_string/test.scratch.sinks.shim_string.cpp +++ b/test/scratch/test.scratch.sinks.shim_string/test.scratch.sinks.shim_string.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.sinks.shim_string project. * * Created: 12th November 2008 - * Updated: 22nd June 2010 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,23 +18,23 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* PlatformSTL Header Files */ +/* PlatformSTL header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #if defined(_WIN32) || \ defined(_WIN64) # include #endif /* Win32 || Win64 */ -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include #if 0 @@ -45,7 +45,7 @@ #include #endif /* 0 */ -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/scratch/test.scratch.speech/implicit_link.cpp b/test/scratch/test.scratch.speech/implicit_link.cpp index 8ba43d2..383fcd6 100644 --- a/test/scratch/test.scratch.speech/implicit_link.cpp +++ b/test/scratch/test.scratch.speech/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.scratch.speech project. * * Created: 27th November 2007 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2007-2009, Synesis Software Pty Ltd. + * Copyright (c) 2007-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,20 +18,20 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* shwild Header Files */ +/* shwild header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/scratch/test.scratch.speech/test.scratch.speech.cpp b/test/scratch/test.scratch.speech/test.scratch.speech.cpp index 39944f1..7dbe86e 100644 --- a/test/scratch/test.scratch.speech/test.scratch.speech.cpp +++ b/test/scratch/test.scratch.speech/test.scratch.speech.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.scratch.speech project. * * Created: 27th November 2007 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2007-2009, Synesis Software Pty Ltd. + * Copyright (c) 2007-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -21,24 +21,24 @@ //#include //#define COMSTL_SPEECH_SAPI_UTIL_USE_MS_SAPI_HEADERS -/* FastFormat Header Files */ +/* FastFormat header files */ #include #include -/* VOLE Header Files */ +/* VOLE header files */ #ifdef FASTFORMAT_USE_VOLE # include #endif /* FASTFORMAT_USE_VOLE */ -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/fastformat.test.unit.vc6.dsw b/test/unit/fastformat.test.unit.vc6.dsw new file mode 100644 index 0000000..f635415 --- /dev/null +++ b/test/unit/fastformat.test.unit.vc6.dsw @@ -0,0 +1,356 @@ +Microsoft Developer Studio Workspace File, Format Version 6.00 +# WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE! + +############################################################################### + +Project: "fastformat.core"=..\..\projects\core\vc6\fastformat.core.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ +}}} + +############################################################################### + +Project: "test.unit.api.accum_slice_lens"=.\test.unit.api.accum_slice_lens\vc6\test.unit.api.accum_slice_lens.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.api.crlf_for_platform"=.\test.unit.api.crlf_for_platform\vc6\test.unit.api.crlf_for_platform.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.api.fill_replacements"=.\test.unit.api.fill_replacements\vc6\test.unit.api.fill_replacements.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.api.illformed_handlers"=.\test.unit.api.illformed_handlers\vc6\test.unit.api.illformed_handlers.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.api.initialisation"=.\test.unit.api.initialisation\vc6\test.unit.api.initialisation.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.api.lookup_pattern"=.\test.unit.api.lookup_pattern\vc6\test.unit.api.lookup_pattern.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.api.mismatched_handlers"=.\test.unit.api.mismatched_handlers\vc6\test.unit.api.mismatched_handlers.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.api.parse_format"=.\test.unit.api.parse_format\vc6\test.unit.api.parse_format.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.bundle.winini"=.\test.unit.bundle.winini\vc6\test.unit.bundle.winini.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.failure.mismatched.arguments"=.\test.unit.failure.mismatched.arguments\vc6\test.unit.failure.mismatched.arguments.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.format.standard_flags"=.\test.unit.format.standard_flags\vc6\test.unit.format.standard_flags.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.inserters.character"=.\test.unit.inserters.character\vc6\test.unit.inserters.character.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.inserters.integer"=.\test.unit.inserters.integer\vc6\test.unit.inserters.integer.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.shims.fast_integers"=.\test.unit.shims.fast_integers\vc6\test.unit.shims.fast_integers.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ +}}} + +############################################################################### + +Project: "test.unit.sink.CString"=.\test.unit.sink.CString\vc6\test.unit.sink.CString.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.sink.OutputDebugString"=.\test.unit.sink.OutputDebugString\vc6\test.unit.sink.OutputDebugString.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.sink.auto_buffer"=.\test.unit.sink.auto_buffer\vc6\test.unit.sink.auto_buffer.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.sink.c_string"=.\test.unit.sink.c_string\vc6\test.unit.sink.c_string.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.sink.char_buffer"=.\test.unit.sink.char_buffer\vc6\test.unit.sink.char_buffer.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.sink.null"=.\test.unit.sink.null\vc6\test.unit.sink.null.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.sink.ostream"=.\test.unit.sink.ostream\vc6\test.unit.sink.ostream.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Project: "test.unit.sink.stringstream"=.\test.unit.sink.stringstream\vc6\test.unit.sink.stringstream.dsp - Package Owner=<4> + +Package=<5> +{{{ +}}} + +Package=<4> +{{{ + Begin Project Dependency + Project_Dep_Name fastformat.core + End Project Dependency +}}} + +############################################################################### + +Global: + +Package=<5> +{{{ +}}} + +Package=<3> +{{{ +}}} + +############################################################################### + diff --git a/test/unit/test.unit.api.accum_slice_lens/implicit_link.cpp b/test/unit/test.unit.api.accum_slice_lens/implicit_link.cpp index d6b29d6..8403bfa 100644 --- a/test/unit/test.unit.api.accum_slice_lens/implicit_link.cpp +++ b/test/unit/test.unit.api.accum_slice_lens/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.api.accum_slice_lens project. * * Created: 27th May 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.api.accum_slice_lens/test.unit.api.accum_slice_lens.cpp b/test/unit/test.unit.api.accum_slice_lens/test.unit.api.accum_slice_lens.cpp index 9d3f994..a9f9e34 100644 --- a/test/unit/test.unit.api.accum_slice_lens/test.unit.api.accum_slice_lens.cpp +++ b/test/unit/test.unit.api.accum_slice_lens/test.unit.api.accum_slice_lens.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.api.accum_slice_lens project. * * Created: 27th May 2008 - * Updated: 9th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -30,13 +30,13 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.api.accum_slice_lens/vc6/test.unit.api.accum_slice_lens.dsp b/test/unit/test.unit.api.accum_slice_lens/vc6/test.unit.api.accum_slice_lens.dsp new file mode 100644 index 0000000..d52e9a2 --- /dev/null +++ b/test/unit/test.unit.api.accum_slice_lens/vc6/test.unit.api.accum_slice_lens.dsp @@ -0,0 +1,725 @@ +# Microsoft Developer Studio Project File - Name="test.unit.api.accum_slice_lens" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.api.accum_slice_lens - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.accum_slice_lens.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.accum_slice_lens.mak" CFG="test.unit.api.accum_slice_lens - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.accum_slice_lens.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.api.accum_slice_lens - Win32 Release" +# Name "test.unit.api.accum_slice_lens - Win32 Debug" +# Name "test.unit.api.accum_slice_lens - Win32 Release Multithreaded" +# Name "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded" +# Name "test.unit.api.accum_slice_lens - Win32 Release Multithreaded DLL" +# Name "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded DLL" +# Name "test.unit.api.accum_slice_lens - Win32 Unicode Release" +# Name "test.unit.api.accum_slice_lens - Win32 Unicode Debug" +# Name "test.unit.api.accum_slice_lens - Win32 Unicode Release Multithreaded" +# Name "test.unit.api.accum_slice_lens - Win32 Unicode Debug Multithreaded" +# Name "test.unit.api.accum_slice_lens - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.api.accum_slice_lens - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.api.accum_slice_lens - Win32 Release pseudoUNIX" +# Name "test.unit.api.accum_slice_lens - Win32 Debug pseudoUNIX" +# Name "test.unit.api.accum_slice_lens - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.api.accum_slice_lens - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.api.accum_slice_lens - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.api.accum_slice_lens.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.api.crlf_for_platform/implicit_link.cpp b/test/unit/test.unit.api.crlf_for_platform/implicit_link.cpp index 9777d1c..b7d37b4 100644 --- a/test/unit/test.unit.api.crlf_for_platform/implicit_link.cpp +++ b/test/unit/test.unit.api.crlf_for_platform/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.api.crlf_for_platform project. * * Created: 27th May 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.api.crlf_for_platform/test.unit.api.crlf_for_platform.cpp b/test/unit/test.unit.api.crlf_for_platform/test.unit.api.crlf_for_platform.cpp index 0e939c3..b15be24 100644 --- a/test/unit/test.unit.api.crlf_for_platform/test.unit.api.crlf_for_platform.cpp +++ b/test/unit/test.unit.api.crlf_for_platform/test.unit.api.crlf_for_platform.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.api.crlf_for_platform project. * * Created: 27th May 2008 - * Updated: 9th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -30,13 +30,13 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.api.crlf_for_platform/vc6/test.unit.api.crlf_for_platform.dsp b/test/unit/test.unit.api.crlf_for_platform/vc6/test.unit.api.crlf_for_platform.dsp new file mode 100644 index 0000000..948e650 --- /dev/null +++ b/test/unit/test.unit.api.crlf_for_platform/vc6/test.unit.api.crlf_for_platform.dsp @@ -0,0 +1,725 @@ +# Microsoft Developer Studio Project File - Name="test.unit.api.crlf_for_platform" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.api.crlf_for_platform - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.crlf_for_platform.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.crlf_for_platform.mak" CFG="test.unit.api.crlf_for_platform - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.crlf_for_platform.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.api.crlf_for_platform - Win32 Release" +# Name "test.unit.api.crlf_for_platform - Win32 Debug" +# Name "test.unit.api.crlf_for_platform - Win32 Release Multithreaded" +# Name "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded" +# Name "test.unit.api.crlf_for_platform - Win32 Release Multithreaded DLL" +# Name "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded DLL" +# Name "test.unit.api.crlf_for_platform - Win32 Unicode Release" +# Name "test.unit.api.crlf_for_platform - Win32 Unicode Debug" +# Name "test.unit.api.crlf_for_platform - Win32 Unicode Release Multithreaded" +# Name "test.unit.api.crlf_for_platform - Win32 Unicode Debug Multithreaded" +# Name "test.unit.api.crlf_for_platform - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.api.crlf_for_platform - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.api.crlf_for_platform - Win32 Release pseudoUNIX" +# Name "test.unit.api.crlf_for_platform - Win32 Debug pseudoUNIX" +# Name "test.unit.api.crlf_for_platform - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.api.crlf_for_platform - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.api.crlf_for_platform - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.api.crlf_for_platform.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.api.fill_replacements/implicit_link.cpp b/test/unit/test.unit.api.fill_replacements/implicit_link.cpp index ef7a4b4..0fc467e 100644 --- a/test/unit/test.unit.api.fill_replacements/implicit_link.cpp +++ b/test/unit/test.unit.api.fill_replacements/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.api.fill_replacements project. * * Created: 27th May 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.api.fill_replacements/test.unit.api.fill_replacements.cpp b/test/unit/test.unit.api.fill_replacements/test.unit.api.fill_replacements.cpp index 417c687..71f3de1 100644 --- a/test/unit/test.unit.api.fill_replacements/test.unit.api.fill_replacements.cpp +++ b/test/unit/test.unit.api.fill_replacements/test.unit.api.fill_replacements.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.api.fill_replacements project. * * Created: 27th May 2008 - * Updated: 7th June 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -31,13 +31,13 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.api.fill_replacements/vc6/test.unit.api.fill_replacements.dsp b/test/unit/test.unit.api.fill_replacements/vc6/test.unit.api.fill_replacements.dsp new file mode 100644 index 0000000..8a9d517 --- /dev/null +++ b/test/unit/test.unit.api.fill_replacements/vc6/test.unit.api.fill_replacements.dsp @@ -0,0 +1,725 @@ +# Microsoft Developer Studio Project File - Name="test.unit.api.fill_replacements" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.api.fill_replacements - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.fill_replacements.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.fill_replacements.mak" CFG="test.unit.api.fill_replacements - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.api.fill_replacements - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.fill_replacements - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.fill_replacements - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.fill_replacements.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.api.fill_replacements - Win32 Release" +# Name "test.unit.api.fill_replacements - Win32 Debug" +# Name "test.unit.api.fill_replacements - Win32 Release Multithreaded" +# Name "test.unit.api.fill_replacements - Win32 Debug Multithreaded" +# Name "test.unit.api.fill_replacements - Win32 Release Multithreaded DLL" +# Name "test.unit.api.fill_replacements - Win32 Debug Multithreaded DLL" +# Name "test.unit.api.fill_replacements - Win32 Unicode Release" +# Name "test.unit.api.fill_replacements - Win32 Unicode Debug" +# Name "test.unit.api.fill_replacements - Win32 Unicode Release Multithreaded" +# Name "test.unit.api.fill_replacements - Win32 Unicode Debug Multithreaded" +# Name "test.unit.api.fill_replacements - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.api.fill_replacements - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.api.fill_replacements - Win32 Release pseudoUNIX" +# Name "test.unit.api.fill_replacements - Win32 Debug pseudoUNIX" +# Name "test.unit.api.fill_replacements - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.api.fill_replacements - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.api.fill_replacements - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.api.fill_replacements - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.api.fill_replacements.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.api.illformed_handlers/implicit_link.cpp b/test/unit/test.unit.api.illformed_handlers/implicit_link.cpp index 2e36a8f..c2587c8 100644 --- a/test/unit/test.unit.api.illformed_handlers/implicit_link.cpp +++ b/test/unit/test.unit.api.illformed_handlers/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.api.illformed_handlers project. * * Created: 27th May 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.api.illformed_handlers/test.unit.api.illformed_handlers.cpp b/test/unit/test.unit.api.illformed_handlers/test.unit.api.illformed_handlers.cpp index eb5156c..26d3a31 100644 --- a/test/unit/test.unit.api.illformed_handlers/test.unit.api.illformed_handlers.cpp +++ b/test/unit/test.unit.api.illformed_handlers/test.unit.api.illformed_handlers.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.api.illformed_handlers project. * * Created: 27th May 2008 - * Updated: 25th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -31,14 +31,14 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include @@ -225,6 +225,7 @@ namespace { return 0; } +#if 0 int FASTFORMAT_CALLCONV illformed_handler_1_continue_once( void* /* param */ , ff_parse_code_t /* code */ @@ -257,6 +258,7 @@ namespace { return -1; } +#endif static void test_0() @@ -285,17 +287,8 @@ static void test_3() { ff_illformed_handler_info_t info = fastformat_getThreadIllformedHandler(); -#ifdef FASTFORMAT_MT - XTESTS_TEST_FN_POINTER_EQUAL(NULL, info.handler); XTESTS_TEST_POINTER_EQUAL(NULL, info.param); - -#else /* ? FASTFORMAT_MT */ - - XTESTS_TEST_FN_POINTER_NOT_EQUAL(NULL, info.handler); - XTESTS_TEST_POINTER_EQUAL(NULL, info.param); - -#endif /* FASTFORMAT_MT */ } static void test_4() @@ -324,18 +317,9 @@ static void test_5() ff_illformed_handler_info_t original = fastformat_getThreadIllformedHandler(); -#ifdef FASTFORMAT_MT - XTESTS_TEST_FN_POINTER_EQUAL(NULL, original.handler); XTESTS_TEST_POINTER_EQUAL(NULL, original.param); -#else /* ? FASTFORMAT_MT */ - - XTESTS_TEST_FN_POINTER_NOT_EQUAL(NULL, original.handler); - XTESTS_TEST_POINTER_EQUAL(NULL, original.param); - -#endif /* FASTFORMAT_MT */ - ff_illformed_handler_info_t previous = fastformat_setThreadIllformedHandler(illformed_handler_1_cancel, param); XTESTS_TEST_FN_POINTER_EQUAL(original.handler, previous.handler); diff --git a/test/unit/test.unit.api.illformed_handlers/vc6/test.unit.api.illformed_handlers.dsp b/test/unit/test.unit.api.illformed_handlers/vc6/test.unit.api.illformed_handlers.dsp new file mode 100644 index 0000000..5ea642f --- /dev/null +++ b/test/unit/test.unit.api.illformed_handlers/vc6/test.unit.api.illformed_handlers.dsp @@ -0,0 +1,721 @@ +# Microsoft Developer Studio Project File - Name="test.unit.api.illformed_handlers" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.api.illformed_handlers - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.illformed_handlers.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.illformed_handlers.mak" CFG="test.unit.api.illformed_handlers - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.api.illformed_handlers - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.illformed_handlers - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.illformed_handlers - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.illformed_handlers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.api.illformed_handlers - Win32 Release" +# Name "test.unit.api.illformed_handlers - Win32 Debug" +# Name "test.unit.api.illformed_handlers - Win32 Release Multithreaded" +# Name "test.unit.api.illformed_handlers - Win32 Debug Multithreaded" +# Name "test.unit.api.illformed_handlers - Win32 Release Multithreaded DLL" +# Name "test.unit.api.illformed_handlers - Win32 Debug Multithreaded DLL" +# Name "test.unit.api.illformed_handlers - Win32 Unicode Release" +# Name "test.unit.api.illformed_handlers - Win32 Unicode Debug" +# Name "test.unit.api.illformed_handlers - Win32 Unicode Release Multithreaded" +# Name "test.unit.api.illformed_handlers - Win32 Unicode Debug Multithreaded" +# Name "test.unit.api.illformed_handlers - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.api.illformed_handlers - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.api.illformed_handlers - Win32 Release pseudoUNIX" +# Name "test.unit.api.illformed_handlers - Win32 Debug pseudoUNIX" +# Name "test.unit.api.illformed_handlers - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.api.illformed_handlers - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.api.illformed_handlers - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.api.illformed_handlers - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.api.illformed_handlers.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\format_element.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\threading.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.api.initialisation/implicit_link.cpp b/test/unit/test.unit.api.initialisation/implicit_link.cpp index 5b8844c..6cf0172 100644 --- a/test/unit/test.unit.api.initialisation/implicit_link.cpp +++ b/test/unit/test.unit.api.initialisation/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.api.initialisation project. * * Created: 27th May 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.api.initialisation/test.unit.api.initialisation.cpp b/test/unit/test.unit.api.initialisation/test.unit.api.initialisation.cpp index 6b16fd3..19dabd2 100644 --- a/test/unit/test.unit.api.initialisation/test.unit.api.initialisation.cpp +++ b/test/unit/test.unit.api.initialisation/test.unit.api.initialisation.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.api.initialisation project. * * Created: 27th May 2008 - * Updated: 21st January 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -30,14 +30,14 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.api.initialisation/vc6/test.unit.api.initialisation.dsp b/test/unit/test.unit.api.initialisation/vc6/test.unit.api.initialisation.dsp new file mode 100644 index 0000000..e023bc0 --- /dev/null +++ b/test/unit/test.unit.api.initialisation/vc6/test.unit.api.initialisation.dsp @@ -0,0 +1,806 @@ +# Microsoft Developer Studio Project File - Name="test.unit.api.initialisation" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.api.initialisation - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.initialisation.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.initialisation.mak" CFG="test.unit.api.initialisation - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.api.initialisation - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.initialisation - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.api.initialisation - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.initialisation - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.initialisation.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.api.initialisation - Win32 Release" +# Name "test.unit.api.initialisation - Win32 Debug" +# Name "test.unit.api.initialisation - Win32 Release Multithreaded" +# Name "test.unit.api.initialisation - Win32 Debug Multithreaded" +# Name "test.unit.api.initialisation - Win32 Release Multithreaded DLL" +# Name "test.unit.api.initialisation - Win32 Debug Multithreaded DLL" +# Name "test.unit.api.initialisation - Win32 Unicode Release" +# Name "test.unit.api.initialisation - Win32 Unicode Debug" +# Name "test.unit.api.initialisation - Win32 Unicode Release Multithreaded" +# Name "test.unit.api.initialisation - Win32 Unicode Debug Multithreaded" +# Name "test.unit.api.initialisation - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.api.initialisation - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.api.initialisation - Win32 Release pseudoUNIX" +# Name "test.unit.api.initialisation - Win32 Debug pseudoUNIX" +# Name "test.unit.api.initialisation - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.api.initialisation - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.api.initialisation - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.api.initialisation - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.api.initialisation.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\char_conversions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\conversion_error.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.api.lookup_pattern/implicit_link.cpp b/test/unit/test.unit.api.lookup_pattern/implicit_link.cpp index e70d862..79e0f27 100644 --- a/test/unit/test.unit.api.lookup_pattern/implicit_link.cpp +++ b/test/unit/test.unit.api.lookup_pattern/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.api.lookup_pattern project. * * Created: 27th May 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.api.lookup_pattern/test.unit.api.lookup_pattern.cpp b/test/unit/test.unit.api.lookup_pattern/test.unit.api.lookup_pattern.cpp index 0d1c124..ad6e87d 100644 --- a/test/unit/test.unit.api.lookup_pattern/test.unit.api.lookup_pattern.cpp +++ b/test/unit/test.unit.api.lookup_pattern/test.unit.api.lookup_pattern.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.api.lookup_pattern project. * * Created: 27th May 2008 - * Updated: 9th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -31,17 +31,17 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.api.lookup_pattern/vc6/test.unit.api.lookup_pattern.dsp b/test/unit/test.unit.api.lookup_pattern/vc6/test.unit.api.lookup_pattern.dsp new file mode 100644 index 0000000..0a2b3a6 --- /dev/null +++ b/test/unit/test.unit.api.lookup_pattern/vc6/test.unit.api.lookup_pattern.dsp @@ -0,0 +1,725 @@ +# Microsoft Developer Studio Project File - Name="test.unit.api.lookup_pattern" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.api.lookup_pattern - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.lookup_pattern.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.lookup_pattern.mak" CFG="test.unit.api.lookup_pattern - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.api.lookup_pattern - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.lookup_pattern - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.lookup_pattern - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.lookup_pattern.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.api.lookup_pattern - Win32 Release" +# Name "test.unit.api.lookup_pattern - Win32 Debug" +# Name "test.unit.api.lookup_pattern - Win32 Release Multithreaded" +# Name "test.unit.api.lookup_pattern - Win32 Debug Multithreaded" +# Name "test.unit.api.lookup_pattern - Win32 Release Multithreaded DLL" +# Name "test.unit.api.lookup_pattern - Win32 Debug Multithreaded DLL" +# Name "test.unit.api.lookup_pattern - Win32 Unicode Release" +# Name "test.unit.api.lookup_pattern - Win32 Unicode Debug" +# Name "test.unit.api.lookup_pattern - Win32 Unicode Release Multithreaded" +# Name "test.unit.api.lookup_pattern - Win32 Unicode Debug Multithreaded" +# Name "test.unit.api.lookup_pattern - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.api.lookup_pattern - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.api.lookup_pattern - Win32 Release pseudoUNIX" +# Name "test.unit.api.lookup_pattern - Win32 Debug pseudoUNIX" +# Name "test.unit.api.lookup_pattern - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.api.lookup_pattern - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.api.lookup_pattern - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.api.lookup_pattern - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.api.lookup_pattern.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.api.mismatched_handlers/implicit_link.cpp b/test/unit/test.unit.api.mismatched_handlers/implicit_link.cpp index 9f190ec..7a822be 100644 --- a/test/unit/test.unit.api.mismatched_handlers/implicit_link.cpp +++ b/test/unit/test.unit.api.mismatched_handlers/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.api.mismatched_handlers project. * * Created: 27th May 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.api.mismatched_handlers/test.unit.api.mismatched_handlers.cpp b/test/unit/test.unit.api.mismatched_handlers/test.unit.api.mismatched_handlers.cpp index 7445b50..9ac83a0 100644 --- a/test/unit/test.unit.api.mismatched_handlers/test.unit.api.mismatched_handlers.cpp +++ b/test/unit/test.unit.api.mismatched_handlers/test.unit.api.mismatched_handlers.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.api.mismatched_handlers project. * * Created: 27th May 2008 - * Updated: 25th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -31,14 +31,14 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include @@ -219,6 +219,7 @@ namespace { return 0; } +#if 0 int FASTFORMAT_CALLCONV mismatched_handler_1_continue_once( void* /* param */ , ff_replacement_code_t /* code */ @@ -245,6 +246,7 @@ namespace { return -1; } +#endif static void test_0() diff --git a/test/unit/test.unit.api.mismatched_handlers/vc6/test.unit.api.mismatched_handlers.dsp b/test/unit/test.unit.api.mismatched_handlers/vc6/test.unit.api.mismatched_handlers.dsp new file mode 100644 index 0000000..2f98bab --- /dev/null +++ b/test/unit/test.unit.api.mismatched_handlers/vc6/test.unit.api.mismatched_handlers.dsp @@ -0,0 +1,801 @@ +# Microsoft Developer Studio Project File - Name="test.unit.api.mismatched_handlers" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.api.mismatched_handlers - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.mismatched_handlers.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.mismatched_handlers.mak" CFG="test.unit.api.mismatched_handlers - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.mismatched_handlers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.api.mismatched_handlers - Win32 Release" +# Name "test.unit.api.mismatched_handlers - Win32 Debug" +# Name "test.unit.api.mismatched_handlers - Win32 Release Multithreaded" +# Name "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded" +# Name "test.unit.api.mismatched_handlers - Win32 Release Multithreaded DLL" +# Name "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded DLL" +# Name "test.unit.api.mismatched_handlers - Win32 Unicode Release" +# Name "test.unit.api.mismatched_handlers - Win32 Unicode Debug" +# Name "test.unit.api.mismatched_handlers - Win32 Unicode Release Multithreaded" +# Name "test.unit.api.mismatched_handlers - Win32 Unicode Debug Multithreaded" +# Name "test.unit.api.mismatched_handlers - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.api.mismatched_handlers - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.api.mismatched_handlers - Win32 Release pseudoUNIX" +# Name "test.unit.api.mismatched_handlers - Win32 Debug pseudoUNIX" +# Name "test.unit.api.mismatched_handlers - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.api.mismatched_handlers - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.api.mismatched_handlers - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.api.mismatched_handlers.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\conversion_error.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\head_version.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\lead_version.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\threading.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.api.parse_format/implicit_link.cpp b/test/unit/test.unit.api.parse_format/implicit_link.cpp index 0ed34fc..03ff2cf 100644 --- a/test/unit/test.unit.api.parse_format/implicit_link.cpp +++ b/test/unit/test.unit.api.parse_format/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.api.parse_format project. * * Created: 27th May 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.api.parse_format/test.unit.api.parse_format.cpp b/test/unit/test.unit.api.parse_format/test.unit.api.parse_format.cpp index 9830ab6..6ac9198 100644 --- a/test/unit/test.unit.api.parse_format/test.unit.api.parse_format.cpp +++ b/test/unit/test.unit.api.parse_format/test.unit.api.parse_format.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.api.parse_format project. * * Created: 27th May 2008 - * Updated: 13th April 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -31,19 +31,19 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.api.parse_format/vc6/test.unit.api.parse_format.dsp b/test/unit/test.unit.api.parse_format/vc6/test.unit.api.parse_format.dsp new file mode 100644 index 0000000..9dc3716 --- /dev/null +++ b/test/unit/test.unit.api.parse_format/vc6/test.unit.api.parse_format.dsp @@ -0,0 +1,733 @@ +# Microsoft Developer Studio Project File - Name="test.unit.api.parse_format" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.api.parse_format - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.parse_format.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.api.parse_format.mak" CFG="test.unit.api.parse_format - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.api.parse_format - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.api.parse_format - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.api.parse_format - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.api.parse_format - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.api.parse_format.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.api.parse_format - Win32 Release" +# Name "test.unit.api.parse_format - Win32 Debug" +# Name "test.unit.api.parse_format - Win32 Release Multithreaded" +# Name "test.unit.api.parse_format - Win32 Debug Multithreaded" +# Name "test.unit.api.parse_format - Win32 Release Multithreaded DLL" +# Name "test.unit.api.parse_format - Win32 Debug Multithreaded DLL" +# Name "test.unit.api.parse_format - Win32 Unicode Release" +# Name "test.unit.api.parse_format - Win32 Unicode Debug" +# Name "test.unit.api.parse_format - Win32 Unicode Release Multithreaded" +# Name "test.unit.api.parse_format - Win32 Unicode Debug Multithreaded" +# Name "test.unit.api.parse_format - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.api.parse_format - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.api.parse_format - Win32 Release pseudoUNIX" +# Name "test.unit.api.parse_format - Win32 Debug pseudoUNIX" +# Name "test.unit.api.parse_format - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.api.parse_format - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.api.parse_format - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.api.parse_format - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.api.parse_format.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\format_element.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.bundle.winini/implicit_link.cpp b/test/unit/test.unit.bundle.winini/implicit_link.cpp index 3acbd89..1fcbfe8 100644 --- a/test/unit/test.unit.bundle.winini/implicit_link.cpp +++ b/test/unit/test.unit.bundle.winini/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.bundle.winini project. * * Created: 12th April 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.bundle.winini/test.unit.bundle.winini.cpp b/test/unit/test.unit.bundle.winini/test.unit.bundle.winini.cpp index 2350947..2d1cda1 100644 --- a/test/unit/test.unit.bundle.winini/test.unit.bundle.winini.cpp +++ b/test/unit/test.unit.bundle.winini/test.unit.bundle.winini.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.bundle.winini project. * * Created: 12th April 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -20,20 +20,20 @@ #include -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.bundle.winini/vc6/test.unit.bundle.winini.dsp b/test/unit/test.unit.bundle.winini/vc6/test.unit.bundle.winini.dsp new file mode 100644 index 0000000..b62876c --- /dev/null +++ b/test/unit/test.unit.bundle.winini/vc6/test.unit.bundle.winini.dsp @@ -0,0 +1,725 @@ +# Microsoft Developer Studio Project File - Name="test.unit.bundle.winini" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.bundle.winini - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.bundle.winini.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.bundle.winini.mak" CFG="test.unit.bundle.winini - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.bundle.winini - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.bundle.winini - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.bundle.winini - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.bundle.winini - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.bundle.winini.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.bundle.winini - Win32 Release" +# Name "test.unit.bundle.winini - Win32 Debug" +# Name "test.unit.bundle.winini - Win32 Release Multithreaded" +# Name "test.unit.bundle.winini - Win32 Debug Multithreaded" +# Name "test.unit.bundle.winini - Win32 Release Multithreaded DLL" +# Name "test.unit.bundle.winini - Win32 Debug Multithreaded DLL" +# Name "test.unit.bundle.winini - Win32 Unicode Release" +# Name "test.unit.bundle.winini - Win32 Unicode Debug" +# Name "test.unit.bundle.winini - Win32 Unicode Release Multithreaded" +# Name "test.unit.bundle.winini - Win32 Unicode Debug Multithreaded" +# Name "test.unit.bundle.winini - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.bundle.winini - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.bundle.winini - Win32 Release pseudoUNIX" +# Name "test.unit.bundle.winini - Win32 Debug pseudoUNIX" +# Name "test.unit.bundle.winini - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.bundle.winini - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.bundle.winini - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.bundle.winini - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.bundle.winini.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.failure.mismatched.arguments/implicit_link.cpp b/test/unit/test.unit.failure.mismatched.arguments/implicit_link.cpp new file mode 100644 index 0000000..078175d --- /dev/null +++ b/test/unit/test.unit.failure.mismatched.arguments/implicit_link.cpp @@ -0,0 +1,32 @@ +/* ///////////////////////////////////////////////////////////////////////// + * File: implicit_link.cpp + * + * Purpose: Implicit link file for the test.unit.failure.mismatched.arguments project. + * + * Created: 28th October 2013 + * Updated: 25th September 2015 + * + * Status: Wizard-generated + * + * License: (Licensed under the Synesis Software Open License) + * + * Copyright (c) 2013-2015, Synesis Software Pty Ltd. + * All rights reserved. + * + * www: http://www.synesis.com.au/software + * + * ////////////////////////////////////////////////////////////////////// */ + + +/* ///////////////////////////////////////////////////////////////////////// + * Includes + */ + +/* xTests header files */ +#include + +/* FastFormat header files */ +#include + + +/* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.failure.mismatched.arguments/test.unit.failure.mismatched.arguments.cpp b/test/unit/test.unit.failure.mismatched.arguments/test.unit.failure.mismatched.arguments.cpp new file mode 100644 index 0000000..302151a --- /dev/null +++ b/test/unit/test.unit.failure.mismatched.arguments/test.unit.failure.mismatched.arguments.cpp @@ -0,0 +1,275 @@ +/* ///////////////////////////////////////////////////////////////////////// + * File: test.unit.failure.mismatched.arguments.cpp + * + * Purpose: Implementation file for the test.unit.failure.mismatched.arguments project. + * + * Created: 28th October 2013 + * Updated: 25th September 2015 + * + * Status: Wizard-generated + * + * License: (Licensed under the Synesis Software Open License) + * + * Copyright (c) 2013-2015, Synesis Software Pty Ltd. + * All rights reserved. + * + * www: http://www.synesis.com.au/software + * + * ////////////////////////////////////////////////////////////////////// */ + + +/* ///////////////////////////////////////////////////////////////////////// + * Test component header file include(s) + */ + +#include +#include +#include + +/* ///////////////////////////////////////////////////////////////////////// + * Includes + */ + +/* xTests header files */ +#include + +/* STLSoft header files */ +#include + +/* Standard C header files */ +#include + +/* ///////////////////////////////////////////////////////////////////////// + * Forward declarations + */ + +namespace +{ + + static void test_that_single_used_argument_succeeds(void); + static void test_that_single_unused_argument_fails(void); + static void test_that_single_unused_argument_succeeds_with_scoper(void); + static void test_that_single_unused_argument_succeeds_with_scoper_0(void); + static void test_that_single_unused_argument_fails_with_scoper_1(void); + static void test_that_single_unused_argument_fails_with_scoper_2(void); + static void test_that_single_unused_argument_fails_with_scoper_3(void); + static void test_that_single_unused_argument_fails_with_scoper_negative_1(void); + static void test_1_8(void); + static void test_1_9(void); + static void test_that_single_missing_argument_fails(void); + static void test_that_single_missing_argument_fails_when_told_to_ignore_unreferenced_arguments(void); + static void test_that_single_missing_argument_succeeds_with_scoper(void); + static void test_1_13(void); + static void test_1_14(void); + static void test_1_15(void); + static void test_1_16(void); + static void test_1_17(void); + static void test_1_18(void); + static void test_1_19(void); + +} // anonymous namespace + +/* ///////////////////////////////////////////////////////////////////////// + * Main + */ + +int main(int argc, char **argv) +{ + int retCode = EXIT_SUCCESS; + int verbosity = 2; + + XTESTS_COMMANDLINE_PARSEVERBOSITY(argc, argv, &verbosity); + + if(XTESTS_START_RUNNER("test.unit.failure.mismatched.arguments", verbosity)) + { + XTESTS_RUN_CASE(test_that_single_used_argument_succeeds); + XTESTS_RUN_CASE_THAT_THROWS(test_that_single_unused_argument_fails, ff::unreferenced_argument_exception); + XTESTS_RUN_CASE(test_that_single_unused_argument_succeeds_with_scoper); + XTESTS_RUN_CASE(test_that_single_unused_argument_succeeds_with_scoper_0); + XTESTS_RUN_CASE_THAT_THROWS(test_that_single_unused_argument_fails_with_scoper_1, ff::unreferenced_argument_exception); + XTESTS_RUN_CASE_THAT_THROWS(test_that_single_unused_argument_fails_with_scoper_2, ff::unreferenced_argument_exception); + XTESTS_RUN_CASE_THAT_THROWS(test_that_single_unused_argument_fails_with_scoper_3, ff::unreferenced_argument_exception); + XTESTS_RUN_CASE_THAT_THROWS(test_that_single_unused_argument_fails_with_scoper_negative_1, ff::unreferenced_argument_exception); + XTESTS_RUN_CASE(test_1_8); + XTESTS_RUN_CASE(test_1_9); + XTESTS_RUN_CASE_THAT_THROWS(test_that_single_missing_argument_fails, ff::missing_argument_exception); + XTESTS_RUN_CASE_THAT_THROWS(test_that_single_missing_argument_fails_when_told_to_ignore_unreferenced_arguments, ff::unreferenced_argument_exception); + XTESTS_RUN_CASE(test_that_single_missing_argument_succeeds_with_scoper); + XTESTS_RUN_CASE(test_1_13); + XTESTS_RUN_CASE(test_1_14); + XTESTS_RUN_CASE(test_1_15); + XTESTS_RUN_CASE(test_1_16); + XTESTS_RUN_CASE(test_1_17); + XTESTS_RUN_CASE(test_1_18); + XTESTS_RUN_CASE(test_1_19); + + XTESTS_PRINT_RESULTS(); + + XTESTS_END_RUNNER_UPDATE_EXITCODE(&retCode); + } + + return retCode; +} + +/* ///////////////////////////////////////////////////////////////////////// + * Test function implementations + */ + +namespace +{ + +static void test_that_single_used_argument_succeeds() +{ + std::string sink; + + ff::fmt(sink, "{0}", ""); + + XTESTS_TEST_PASSED(); +} + +static void test_that_single_unused_argument_fails() +{ + std::string sink; + + ff::fmt(sink, "", ""); + + XTESTS_TEST_PASSED(); +} + +static void test_that_single_unused_argument_succeeds_with_scoper() +{ + std::string sink; + + ff::ignore_unreferenced_arguments_scope scoper; + + ff::fmt(sink, "", ""); + + XTESTS_TEST_PASSED(); +} + +static void test_that_single_unused_argument_succeeds_with_scoper_0() +{ + std::string sink; + + ff::ignore_unreferenced_arguments_scope scoper; + + ff::fmt(sink, "", ""); + + XTESTS_TEST_PASSED(); +} + +static void test_that_single_unused_argument_fails_with_scoper_1() +{ + std::string sink; + + ff::ignore_unreferenced_arguments_scope scoper(1); + + ff::fmt(sink, "", ""); + + XTESTS_TEST_PASSED(); +} + +static void test_that_single_unused_argument_fails_with_scoper_2() +{ + std::string sink; + + ff::ignore_unreferenced_arguments_scope scoper(2); + + ff::fmt(sink, "", ""); + + XTESTS_TEST_PASSED(); +} + +static void test_that_single_unused_argument_fails_with_scoper_3() +{ + std::string sink; + + ff::ignore_unreferenced_arguments_scope scoper(3); + + ff::fmt(sink, "", ""); + + XTESTS_TEST_PASSED(); +} + +static void test_that_single_unused_argument_fails_with_scoper_negative_1() +{ + std::string sink; + + ff::ignore_unreferenced_arguments_scope scoper(-1); + + ff::fmt(sink, "", ""); + + XTESTS_TEST_PASSED(); +} + +static void test_1_8() +{ +} + +static void test_1_9() +{ +} + +static void test_that_single_missing_argument_fails() +{ + std::string sink; + + ff::fmt(sink, "{0}{1}", ""); + + XTESTS_TEST_PASSED(); +} + +static void test_that_single_missing_argument_fails_when_told_to_ignore_unreferenced_arguments() +{ + std::string sink; + + ff::ignore_unreferenced_arguments_scope scoper; + + ff::fmt(sink, "{0}{1}", ""); + + XTESTS_TEST_PASSED(); +} + +static void test_that_single_missing_argument_succeeds_with_scoper() +{ + std::string sink; + + ff::ignore_missing_arguments_scope scoper; + + ff::fmt(sink, "{0}{1}", ""); + + XTESTS_TEST_PASSED(); +} + +static void test_1_13() +{ +} + +static void test_1_14() +{ +} + +static void test_1_15() +{ +} + +static void test_1_16() +{ +} + +static void test_1_17() +{ +} + +static void test_1_18() +{ +} + +static void test_1_19() +{ +} + + +} // anonymous namespace + +/* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.failure.mismatched.arguments/vc6/test.unit.failure.mismatched.arguments.dsp b/test/unit/test.unit.failure.mismatched.arguments/vc6/test.unit.failure.mismatched.arguments.dsp new file mode 100644 index 0000000..d5da278 --- /dev/null +++ b/test/unit/test.unit.failure.mismatched.arguments/vc6/test.unit.failure.mismatched.arguments.dsp @@ -0,0 +1,472 @@ +# Microsoft Developer Studio Project File - Name="test.unit.failure.mismatched.arguments" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.failure.mismatched.arguments - Win32 Debug Multithreaded +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.failure.mismatched.arguments.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.failure.mismatched.arguments.mak" CFG="test.unit.failure.mismatched.arguments - Win32 Debug Multithreaded" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.failure.mismatched.arguments - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.failure.mismatched.arguments - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.failure.mismatched.arguments - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.failure.mismatched.arguments - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_WINDOWS" /D "_AFXDLL" /YX /FD /c +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "$(FASTFORMAT_ROOT)/include" /I "$(STLSOFT_PLUS)/include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_AFXDLL" /D "_MBCS" /D "_CONSOLE" /YX /FD /c +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" /d "_AFXDLL" +# ADD RSC /l 0xc09 /d "NDEBUG" /d "_AFXDLL" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 /nologo /subsystem:windows /machine:I386 +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.failure.mismatched.arguments.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.failure.mismatched.arguments - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_WINDOWS" /D "_AFXDLL" /YX /FD /GZ /c +# ADD CPP /nologo /W3 /WX /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "$(FASTFORMAT_ROOT)/include" /I "$(STLSOFT_PLUS)/include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_AFXDLL" /D "_MBCS" /D "_CONSOLE" /YX /FD /GZ /c +# SUBTRACT CPP /Fr +# ADD BASE MTL /nologo /D "_DEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "_DEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" /d "_AFXDLL" +# ADD RSC /l 0xc09 /d "_DEBUG" /d "_AFXDLL" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 /nologo /subsystem:windows /debug /machine:I386 /pdbtype:sept +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.failure.mismatched.arguments.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.failure.mismatched.arguments - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMt" +# PROP BASE Intermediate_Dir "DebugMt" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMt" +# PROP Intermediate_Dir "DebugMt" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /WX /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "$(FASTFORMAT_ROOT)/include" /I "$(STLSOFT_PLUS)/include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_AFXDLL" /D "_MBCS" /D "_CONSOLE" /FR /YX /FD /GZ /c +# ADD CPP /nologo /MTd /W3 /WX /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "$(FASTFORMAT_ROOT)/include" /I "$(STLSOFT_PLUS)/include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_AFXDLL" /D "_MBCS" /D "_CONSOLE" /FR /YX /FD /GZ /c +# ADD BASE MTL /nologo /D "_DEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "_DEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" /d "_AFXDLL" +# ADD RSC /l 0xc09 /d "_DEBUG" /d "_AFXDLL" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.failure.mismatched.arguments.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.failure.mismatched.arguments - Win32 Release" +# Name "test.unit.failure.mismatched.arguments - Win32 Debug" +# Name "test.unit.failure.mismatched.arguments - Win32 Debug Multithreaded" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.failure.mismatched.arguments.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\conversion_error.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\head_version.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\integral_printf_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\lead_version.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\smartptr\scoped_handle.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_view.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\api_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\cover.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\ff.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\action\fmt_slices\generic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\generated\helper_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\specification_defect_handling\ignore_missing_arguments_scope.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\initialiser.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\specification_defect_handling\mismatched_arguments_scope_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# Begin Source File + +SOURCE=..\..\..\..\include\fastformat\format\specification_defect_handling\ignore_missing_arguments_scope.hpp +# End Source File +# Begin Source File + +SOURCE=..\..\..\..\include\fastformat\format\specification_defect_handling\ignore_unreferenced_arguments_scope.hpp +# End Source File +# End Group +# Begin Group "Resource Files" + +# PROP Default_Filter "ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe" +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.format.standard_flags/implicit_link.cpp b/test/unit/test.unit.format.standard_flags/implicit_link.cpp index f5f7dbb..d9a3d5a 100644 --- a/test/unit/test.unit.format.standard_flags/implicit_link.cpp +++ b/test/unit/test.unit.format.standard_flags/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.format.standard_flags project. * * Created: 27th May 2008 - * Updated: 11th August 2009 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.format.standard_flags/test.unit.format.standard_flags.cpp b/test/unit/test.unit.format.standard_flags/test.unit.format.standard_flags.cpp index df27e5a..9208901 100644 --- a/test/unit/test.unit.format.standard_flags/test.unit.format.standard_flags.cpp +++ b/test/unit/test.unit.format.standard_flags/test.unit.format.standard_flags.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.format.standard_flags project. * * Created: 27th May 2008 - * Updated: 9th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -31,14 +31,14 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.format.standard_flags/vc6/test.unit.format.standard_flags.dsp b/test/unit/test.unit.format.standard_flags/vc6/test.unit.format.standard_flags.dsp new file mode 100644 index 0000000..c48c4c1 --- /dev/null +++ b/test/unit/test.unit.format.standard_flags/vc6/test.unit.format.standard_flags.dsp @@ -0,0 +1,737 @@ +# Microsoft Developer Studio Project File - Name="test.unit.format.standard_flags" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.format.standard_flags - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.format.standard_flags.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.format.standard_flags.mak" CFG="test.unit.format.standard_flags - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.format.standard_flags - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.format.standard_flags - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.format.standard_flags - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.format.standard_flags - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.format.standard_flags.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.format.standard_flags - Win32 Release" +# Name "test.unit.format.standard_flags - Win32 Debug" +# Name "test.unit.format.standard_flags - Win32 Release Multithreaded" +# Name "test.unit.format.standard_flags - Win32 Debug Multithreaded" +# Name "test.unit.format.standard_flags - Win32 Release Multithreaded DLL" +# Name "test.unit.format.standard_flags - Win32 Debug Multithreaded DLL" +# Name "test.unit.format.standard_flags - Win32 Unicode Release" +# Name "test.unit.format.standard_flags - Win32 Unicode Debug" +# Name "test.unit.format.standard_flags - Win32 Unicode Release Multithreaded" +# Name "test.unit.format.standard_flags - Win32 Unicode Debug Multithreaded" +# Name "test.unit.format.standard_flags - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.format.standard_flags - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.format.standard_flags - Win32 Release pseudoUNIX" +# Name "test.unit.format.standard_flags - Win32 Debug pseudoUNIX" +# Name "test.unit.format.standard_flags - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.format.standard_flags - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.format.standard_flags - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.format.standard_flags - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.format.standard_flags.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\containers\frequency_map.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\string\snprintf.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.inserters.character/implicit_link.cpp b/test/unit/test.unit.inserters.character/implicit_link.cpp index 257e83c..d2dc658 100644 --- a/test/unit/test.unit.inserters.character/implicit_link.cpp +++ b/test/unit/test.unit.inserters.character/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.inserters.character project. * * Created: 11th December 2010 - * Updated: 11th December 2010 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.inserters.character/test.unit.inserters.character.cpp b/test/unit/test.unit.inserters.character/test.unit.inserters.character.cpp index dea7442..94212cd 100644 --- a/test/unit/test.unit.inserters.character/test.unit.inserters.character.cpp +++ b/test/unit/test.unit.inserters.character/test.unit.inserters.character.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.inserters.character project. * * Created: 11th December 2010 - * Updated: 11th December 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -30,17 +30,17 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.inserters.character/vc6/test.unit.inserters.character.dsp b/test/unit/test.unit.inserters.character/vc6/test.unit.inserters.character.dsp new file mode 100644 index 0000000..0047d7d --- /dev/null +++ b/test/unit/test.unit.inserters.character/vc6/test.unit.inserters.character.dsp @@ -0,0 +1,814 @@ +# Microsoft Developer Studio Project File - Name="test.unit.inserters.character" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.inserters.character - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.inserters.character.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.inserters.character.mak" CFG="test.unit.inserters.character - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.inserters.character - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.character - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.inserters.character - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.character - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.character.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.inserters.character - Win32 Release" +# Name "test.unit.inserters.character - Win32 Debug" +# Name "test.unit.inserters.character - Win32 Release Multithreaded" +# Name "test.unit.inserters.character - Win32 Debug Multithreaded" +# Name "test.unit.inserters.character - Win32 Release Multithreaded DLL" +# Name "test.unit.inserters.character - Win32 Debug Multithreaded DLL" +# Name "test.unit.inserters.character - Win32 Unicode Release" +# Name "test.unit.inserters.character - Win32 Unicode Debug" +# Name "test.unit.inserters.character - Win32 Unicode Release Multithreaded" +# Name "test.unit.inserters.character - Win32 Unicode Debug Multithreaded" +# Name "test.unit.inserters.character - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.inserters.character - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.inserters.character - Win32 Release pseudoUNIX" +# Name "test.unit.inserters.character - Win32 Debug pseudoUNIX" +# Name "test.unit.inserters.character - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.inserters.character - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.inserters.character - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.inserters.character - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.inserters.character.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\conversion_error.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\character.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.inserters.integer/implicit_link.cpp b/test/unit/test.unit.inserters.integer/implicit_link.cpp index a25132c..1ffb8bb 100644 --- a/test/unit/test.unit.inserters.integer/implicit_link.cpp +++ b/test/unit/test.unit.inserters.integer/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.inserters.integer project. * * Created: 11th December 2010 - * Updated: 7th December 2011 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010-2011, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.inserters.integer/test.unit.inserters.integer.cpp b/test/unit/test.unit.inserters.integer/test.unit.inserters.integer.cpp index 1ec8fae..f0ef5bc 100644 --- a/test/unit/test.unit.inserters.integer/test.unit.inserters.integer.cpp +++ b/test/unit/test.unit.inserters.integer/test.unit.inserters.integer.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.inserters.integer project. * * Created: 11th December 2010 - * Updated: 7th February 2012 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010-2012, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -30,19 +30,19 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.inserters.integer/vc6/test.unit.inserters.integer.dsp b/test/unit/test.unit.inserters.integer/vc6/test.unit.inserters.integer.dsp new file mode 100644 index 0000000..7be5880 --- /dev/null +++ b/test/unit/test.unit.inserters.integer/vc6/test.unit.inserters.integer.dsp @@ -0,0 +1,841 @@ +# Microsoft Developer Studio Project File - Name="test.unit.inserters.integer" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.inserters.integer - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.inserters.integer.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.inserters.integer.mak" CFG="test.unit.inserters.integer - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.inserters.integer - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.inserters.integer - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=xicl6.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.inserters.integer - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.inserters.integer - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=xilink6.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.inserters.integer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.inserters.integer - Win32 Release" +# Name "test.unit.inserters.integer - Win32 Debug" +# Name "test.unit.inserters.integer - Win32 Release Multithreaded" +# Name "test.unit.inserters.integer - Win32 Debug Multithreaded" +# Name "test.unit.inserters.integer - Win32 Release Multithreaded DLL" +# Name "test.unit.inserters.integer - Win32 Debug Multithreaded DLL" +# Name "test.unit.inserters.integer - Win32 Unicode Release" +# Name "test.unit.inserters.integer - Win32 Unicode Debug" +# Name "test.unit.inserters.integer - Win32 Unicode Release Multithreaded" +# Name "test.unit.inserters.integer - Win32 Unicode Debug Multithreaded" +# Name "test.unit.inserters.integer - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.inserters.integer - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.inserters.integer - Win32 Release pseudoUNIX" +# Name "test.unit.inserters.integer - Win32 Debug pseudoUNIX" +# Name "test.unit.inserters.integer - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.inserters.integer - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.inserters.integer - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.inserters.integer - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.inserters.integer.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_base.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\bounded.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\case_functions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\conversion_error.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\ctype_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\integral_printf_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_generators.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\minmax.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\sap_cast.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\simple_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\string_traits_fwd.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\inserters\int_typedefs.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\inserters\integer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\string\snprintf.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.shims.fast_integers/implicit_link.cpp b/test/unit/test.unit.shims.fast_integers/implicit_link.cpp index a25132c..1ffb8bb 100644 --- a/test/unit/test.unit.shims.fast_integers/implicit_link.cpp +++ b/test/unit/test.unit.shims.fast_integers/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.inserters.integer project. * * Created: 11th December 2010 - * Updated: 7th December 2011 + * Updated: 22nd September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010-2011, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.shims.fast_integers/test.unit.shims.fast_integers.cpp b/test/unit/test.unit.shims.fast_integers/test.unit.shims.fast_integers.cpp index 27b20f5..693865f 100644 --- a/test/unit/test.unit.shims.fast_integers/test.unit.shims.fast_integers.cpp +++ b/test/unit/test.unit.shims.fast_integers/test.unit.shims.fast_integers.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.inserters.integer project. * * Created: 11th December 2010 - * Updated: 7th February 2012 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2010-2012, Synesis Software Pty Ltd. + * Copyright (c) 2010-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -32,22 +32,25 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include +#if _XTESTS_VER < 0x001203ff +# error xTests v0.18.3 or later required +#endif -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include # include -/* Standard C Header Files */ +/* Standard C header files */ #include #include @@ -196,7 +199,7 @@ namespace { using namespace xtests; using namespace xtests::c; - using namespace xtests::c::cpp; + using namespace xtests::cpp; bool equal = false; diff --git a/test/unit/test.unit.shims.fast_integers/vc6/test.unit.shims.fast_integers.dsp b/test/unit/test.unit.shims.fast_integers/vc6/test.unit.shims.fast_integers.dsp new file mode 100644 index 0000000..ec009bc --- /dev/null +++ b/test/unit/test.unit.shims.fast_integers/vc6/test.unit.shims.fast_integers.dsp @@ -0,0 +1,830 @@ +# Microsoft Developer Studio Project File - Name="test.unit.shims.fast_integers" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.shims.fast_integers - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.shims.fast_integers.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.shims.fast_integers.mak" CFG="test.unit.shims.fast_integers - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.shims.fast_integers - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.shims.fast_integers - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FR /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Ignore_Export_Lib 0 +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ELSEIF "$(CFG)" == "test.unit.shims.fast_integers - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.shims.fast_integers.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" /libpath:"$(UNIXEM)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.shims.fast_integers - Win32 Release" +# Name "test.unit.shims.fast_integers - Win32 Debug" +# Name "test.unit.shims.fast_integers - Win32 Release Multithreaded" +# Name "test.unit.shims.fast_integers - Win32 Debug Multithreaded" +# Name "test.unit.shims.fast_integers - Win32 Release Multithreaded DLL" +# Name "test.unit.shims.fast_integers - Win32 Debug Multithreaded DLL" +# Name "test.unit.shims.fast_integers - Win32 Unicode Release" +# Name "test.unit.shims.fast_integers - Win32 Unicode Debug" +# Name "test.unit.shims.fast_integers - Win32 Unicode Release Multithreaded" +# Name "test.unit.shims.fast_integers - Win32 Unicode Debug Multithreaded" +# Name "test.unit.shims.fast_integers - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.shims.fast_integers - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.shims.fast_integers - Win32 Release pseudoUNIX" +# Name "test.unit.shims.fast_integers - Win32 Debug pseudoUNIX" +# Name "test.unit.shims.fast_integers - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.shims.fast_integers - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.shims.fast_integers - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.shims.fast_integers - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.shims.fast_integers.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\char_conversions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\conversion_error.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\conversion\integer_to_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\integral_printf_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_pointer_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\limit_traits.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\n_types.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\shim_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\shims\conversion\filter_type\fast_integers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unistd.h" +# End Source File +# Begin Source File + +SOURCE="$(UNIXEM)\include\unixem\unixem.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.sink.CString/implicit_link.cpp b/test/unit/test.unit.sink.CString/implicit_link.cpp index 573eb0b..41f94e4 100644 --- a/test/unit/test.unit.sink.CString/implicit_link.cpp +++ b/test/unit/test.unit.sink.CString/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.sink.CString project. * * Created: 28th May 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.sink.CString/test.unit.sink.CString.cpp b/test/unit/test.unit.sink.CString/test.unit.sink.CString.cpp index 026674f..8928408 100644 --- a/test/unit/test.unit.sink.CString/test.unit.sink.CString.cpp +++ b/test/unit/test.unit.sink.CString/test.unit.sink.CString.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.sink.CString project. * * Created: 28th May 2008 - * Updated: 11th July 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -37,13 +37,13 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.sink.CString/vc6/test.unit.sink.CString.dsp b/test/unit/test.unit.sink.CString/vc6/test.unit.sink.CString.dsp new file mode 100644 index 0000000..7f8e20d --- /dev/null +++ b/test/unit/test.unit.sink.CString/vc6/test.unit.sink.CString.dsp @@ -0,0 +1,415 @@ +# Microsoft Developer Studio Project File - Name="test.unit.sink.CString" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.sink.CString - Win32 Release Multithreaded DLL +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.CString.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.CString.mak" CFG="test.unit.sink.CString - Win32 Release Multithreaded DLL" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.sink.CString - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.CString - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.CString - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.CString - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.sink.CString - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.CString.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.CString.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.CString - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.CString.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.CString.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.CString - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.CString.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.CString.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.CString - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.CString.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.CString.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.sink.CString - Win32 Release Multithreaded DLL" +# Name "test.unit.sink.CString - Win32 Debug Multithreaded DLL" +# Name "test.unit.sink.CString - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.sink.CString - Win32 Unicode Debug Multithreaded DLL" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.sink.CString.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "MFCSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\string\grab_cstring_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\mfcstl\mfcstl.hpp" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\CString.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\shim_macros.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.sink.OutputDebugString/implicit_link.cpp b/test/unit/test.unit.sink.OutputDebugString/implicit_link.cpp index c17aaba..33e9591 100644 --- a/test/unit/test.unit.sink.OutputDebugString/implicit_link.cpp +++ b/test/unit/test.unit.sink.OutputDebugString/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.sink.OutputDebugString project. * * Created: 28th May 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.sink.OutputDebugString/test.unit.sink.OutputDebugString.cpp b/test/unit/test.unit.sink.OutputDebugString/test.unit.sink.OutputDebugString.cpp index 678dd53..58830a1 100644 --- a/test/unit/test.unit.sink.OutputDebugString/test.unit.sink.OutputDebugString.cpp +++ b/test/unit/test.unit.sink.OutputDebugString/test.unit.sink.OutputDebugString.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.sink.OutputDebugString project. * * Created: 28th May 2008 - * Updated: 25th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -39,13 +39,13 @@ int OutputDebugString_setup(void* ); * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.sink.OutputDebugString/vc6/test.unit.sink.OutputDebugString.dsp b/test/unit/test.unit.sink.OutputDebugString/vc6/test.unit.sink.OutputDebugString.dsp new file mode 100644 index 0000000..424187a --- /dev/null +++ b/test/unit/test.unit.sink.OutputDebugString/vc6/test.unit.sink.OutputDebugString.dsp @@ -0,0 +1,651 @@ +# Microsoft Developer Studio Project File - Name="test.unit.sink.OutputDebugString" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.sink.OutputDebugString - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.OutputDebugString.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.OutputDebugString.mak" CFG="test.unit.sink.OutputDebugString - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.OutputDebugString - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.OutputDebugString - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.OutputDebugString.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.sink.OutputDebugString - Win32 Release" +# Name "test.unit.sink.OutputDebugString - Win32 Debug" +# Name "test.unit.sink.OutputDebugString - Win32 Release Multithreaded" +# Name "test.unit.sink.OutputDebugString - Win32 Debug Multithreaded" +# Name "test.unit.sink.OutputDebugString - Win32 Release Multithreaded DLL" +# Name "test.unit.sink.OutputDebugString - Win32 Debug Multithreaded DLL" +# Name "test.unit.sink.OutputDebugString - Win32 Unicode Release" +# Name "test.unit.sink.OutputDebugString - Win32 Unicode Debug" +# Name "test.unit.sink.OutputDebugString - Win32 Unicode Release Multithreaded" +# Name "test.unit.sink.OutputDebugString - Win32 Unicode Debug Multithreaded" +# Name "test.unit.sink.OutputDebugString - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.sink.OutputDebugString - Win32 Unicode Debug Multithreaded DLL" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.sink.OutputDebugString.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\OutputDebugString.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.sink.auto_buffer/implicit_link.cpp b/test/unit/test.unit.sink.auto_buffer/implicit_link.cpp index 161138c..20ebb8a 100644 --- a/test/unit/test.unit.sink.auto_buffer/implicit_link.cpp +++ b/test/unit/test.unit.sink.auto_buffer/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.sink.auto_buffer project. * * Created: 28th May 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.sink.auto_buffer/test.unit.sink.auto_buffer.cpp b/test/unit/test.unit.sink.auto_buffer/test.unit.sink.auto_buffer.cpp index 68fec9d..05005b1 100644 --- a/test/unit/test.unit.sink.auto_buffer/test.unit.sink.auto_buffer.cpp +++ b/test/unit/test.unit.sink.auto_buffer/test.unit.sink.auto_buffer.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.sink.auto_buffer project. * * Created: 28th May 2008 - * Updated: 9th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -30,16 +30,16 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.sink.auto_buffer/vc6/test.unit.sink.auto_buffer.dsp b/test/unit/test.unit.sink.auto_buffer/vc6/test.unit.sink.auto_buffer.dsp new file mode 100644 index 0000000..52a4398 --- /dev/null +++ b/test/unit/test.unit.sink.auto_buffer/vc6/test.unit.sink.auto_buffer.dsp @@ -0,0 +1,833 @@ +# Microsoft Developer Studio Project File - Name="test.unit.sink.auto_buffer" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.sink.auto_buffer - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.auto_buffer.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.auto_buffer.mak" CFG="test.unit.sink.auto_buffer - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.sink.auto_buffer - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.auto_buffer - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.auto_buffer - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.auto_buffer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.sink.auto_buffer - Win32 Release" +# Name "test.unit.sink.auto_buffer - Win32 Debug" +# Name "test.unit.sink.auto_buffer - Win32 Release Multithreaded" +# Name "test.unit.sink.auto_buffer - Win32 Debug Multithreaded" +# Name "test.unit.sink.auto_buffer - Win32 Release Multithreaded DLL" +# Name "test.unit.sink.auto_buffer - Win32 Debug Multithreaded DLL" +# Name "test.unit.sink.auto_buffer - Win32 Unicode Release" +# Name "test.unit.sink.auto_buffer - Win32 Unicode Debug" +# Name "test.unit.sink.auto_buffer - Win32 Unicode Release Multithreaded" +# Name "test.unit.sink.auto_buffer - Win32 Unicode Debug Multithreaded" +# Name "test.unit.sink.auto_buffer - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.sink.auto_buffer - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.sink.auto_buffer - Win32 Release pseudoUNIX" +# Name "test.unit.sink.auto_buffer - Win32 Debug pseudoUNIX" +# Name "test.unit.sink.auto_buffer - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.sink.auto_buffer - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.sink.auto_buffer - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.sink.auto_buffer - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.sink.auto_buffer.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\memory\auto_buffer_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.sink.c_string/implicit_link.cpp b/test/unit/test.unit.sink.c_string/implicit_link.cpp index c47d347..2e9c966 100644 --- a/test/unit/test.unit.sink.c_string/implicit_link.cpp +++ b/test/unit/test.unit.sink.c_string/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.sink.c_string project. * * Created: 14th April 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.sink.c_string/test.unit.sink.c_string.cpp b/test/unit/test.unit.sink.c_string/test.unit.sink.c_string.cpp index 68020b3..288b828 100644 --- a/test/unit/test.unit.sink.c_string/test.unit.sink.c_string.cpp +++ b/test/unit/test.unit.sink.c_string/test.unit.sink.c_string.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.sink.c_string project. * * Created: 28th May 2008 - * Updated: 9th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -30,18 +30,18 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.sink.c_string/vc6/test.unit.sink.c_string.dsp b/test/unit/test.unit.sink.c_string/vc6/test.unit.sink.c_string.dsp new file mode 100644 index 0000000..d86e5c7 --- /dev/null +++ b/test/unit/test.unit.sink.c_string/vc6/test.unit.sink.c_string.dsp @@ -0,0 +1,833 @@ +# Microsoft Developer Studio Project File - Name="test.unit.sink.c_string" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.sink.c_string - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.c_string.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.c_string.mak" CFG="test.unit.sink.c_string - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.sink.c_string - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.c_string - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.sink.c_string - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.c_string - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.c_string.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.sink.c_string - Win32 Release" +# Name "test.unit.sink.c_string - Win32 Debug" +# Name "test.unit.sink.c_string - Win32 Release Multithreaded" +# Name "test.unit.sink.c_string - Win32 Debug Multithreaded" +# Name "test.unit.sink.c_string - Win32 Release Multithreaded DLL" +# Name "test.unit.sink.c_string - Win32 Debug Multithreaded DLL" +# Name "test.unit.sink.c_string - Win32 Unicode Release" +# Name "test.unit.sink.c_string - Win32 Unicode Debug" +# Name "test.unit.sink.c_string - Win32 Unicode Release Multithreaded" +# Name "test.unit.sink.c_string - Win32 Unicode Debug Multithreaded" +# Name "test.unit.sink.c_string - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.sink.c_string - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.sink.c_string - Win32 Release pseudoUNIX" +# Name "test.unit.sink.c_string - Win32 Debug pseudoUNIX" +# Name "test.unit.sink.c_string - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.sink.c_string - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.sink.c_string - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.sink.c_string - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.sink.c_string.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\memory\auto_buffer_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\c_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.sink.char_buffer/implicit_link.cpp b/test/unit/test.unit.sink.char_buffer/implicit_link.cpp index 0229be7..c565051 100644 --- a/test/unit/test.unit.sink.char_buffer/implicit_link.cpp +++ b/test/unit/test.unit.sink.char_buffer/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.sink.char_buffer project. * * Created: 14th April 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.sink.char_buffer/test.unit.sink.char_buffer.cpp b/test/unit/test.unit.sink.char_buffer/test.unit.sink.char_buffer.cpp index 54aeacd..5f15a51 100644 --- a/test/unit/test.unit.sink.char_buffer/test.unit.sink.char_buffer.cpp +++ b/test/unit/test.unit.sink.char_buffer/test.unit.sink.char_buffer.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.sink.char_buffer project. * * Created: 28th May 2008 - * Updated: 14th October 2010 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2010, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -31,18 +31,18 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.sink.char_buffer/vc6/test.unit.sink.char_buffer.dsp b/test/unit/test.unit.sink.char_buffer/vc6/test.unit.sink.char_buffer.dsp new file mode 100644 index 0000000..e186249 --- /dev/null +++ b/test/unit/test.unit.sink.char_buffer/vc6/test.unit.sink.char_buffer.dsp @@ -0,0 +1,833 @@ +# Microsoft Developer Studio Project File - Name="test.unit.sink.char_buffer" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.sink.char_buffer - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.char_buffer.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.char_buffer.mak" CFG="test.unit.sink.char_buffer - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.sink.char_buffer - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.char_buffer - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.char_buffer - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.char_buffer.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.sink.char_buffer - Win32 Release" +# Name "test.unit.sink.char_buffer - Win32 Debug" +# Name "test.unit.sink.char_buffer - Win32 Release Multithreaded" +# Name "test.unit.sink.char_buffer - Win32 Debug Multithreaded" +# Name "test.unit.sink.char_buffer - Win32 Release Multithreaded DLL" +# Name "test.unit.sink.char_buffer - Win32 Debug Multithreaded DLL" +# Name "test.unit.sink.char_buffer - Win32 Unicode Release" +# Name "test.unit.sink.char_buffer - Win32 Unicode Debug" +# Name "test.unit.sink.char_buffer - Win32 Unicode Release Multithreaded" +# Name "test.unit.sink.char_buffer - Win32 Unicode Debug Multithreaded" +# Name "test.unit.sink.char_buffer - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.sink.char_buffer - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.sink.char_buffer - Win32 Release pseudoUNIX" +# Name "test.unit.sink.char_buffer - Win32 Debug pseudoUNIX" +# Name "test.unit.sink.char_buffer - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.sink.char_buffer - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.sink.char_buffer - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.sink.char_buffer - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.sink.char_buffer.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\memory\auto_buffer_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\char_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.sink.null/implicit_link.cpp b/test/unit/test.unit.sink.null/implicit_link.cpp index dd2c1e4..850a34b 100644 --- a/test/unit/test.unit.sink.null/implicit_link.cpp +++ b/test/unit/test.unit.sink.null/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.sink.null project. * * Created: 28th May 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.sink.null/test.unit.sink.null.cpp b/test/unit/test.unit.sink.null/test.unit.sink.null.cpp index 9ba822f..74ba840 100644 --- a/test/unit/test.unit.sink.null/test.unit.sink.null.cpp +++ b/test/unit/test.unit.sink.null/test.unit.sink.null.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.sink.null project. * * Created: 28th May 2008 - * Updated: 9th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -30,13 +30,13 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.sink.null/vc6/test.unit.sink.null.dsp b/test/unit/test.unit.sink.null/vc6/test.unit.sink.null.dsp new file mode 100644 index 0000000..fb95aef --- /dev/null +++ b/test/unit/test.unit.sink.null/vc6/test.unit.sink.null.dsp @@ -0,0 +1,733 @@ +# Microsoft Developer Studio Project File - Name="test.unit.sink.null" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.sink.null - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.null.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.null.mak" CFG="test.unit.sink.null - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.sink.null - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.null - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.sink.null - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.null - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.null.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.sink.null - Win32 Release" +# Name "test.unit.sink.null - Win32 Debug" +# Name "test.unit.sink.null - Win32 Release Multithreaded" +# Name "test.unit.sink.null - Win32 Debug Multithreaded" +# Name "test.unit.sink.null - Win32 Release Multithreaded DLL" +# Name "test.unit.sink.null - Win32 Debug Multithreaded DLL" +# Name "test.unit.sink.null - Win32 Unicode Release" +# Name "test.unit.sink.null - Win32 Unicode Debug" +# Name "test.unit.sink.null - Win32 Unicode Release Multithreaded" +# Name "test.unit.sink.null - Win32 Unicode Debug Multithreaded" +# Name "test.unit.sink.null - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.sink.null - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.sink.null - Win32 Release pseudoUNIX" +# Name "test.unit.sink.null - Win32 Debug pseudoUNIX" +# Name "test.unit.sink.null - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.sink.null - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.sink.null - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.sink.null - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.sink.null.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\null.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.sink.ostream/implicit_link.cpp b/test/unit/test.unit.sink.ostream/implicit_link.cpp index 1404f0d..f164376 100644 --- a/test/unit/test.unit.sink.ostream/implicit_link.cpp +++ b/test/unit/test.unit.sink.ostream/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.sink.ostream project. * * Created: 28th May 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.sink.ostream/test.unit.sink.ostream.cpp b/test/unit/test.unit.sink.ostream/test.unit.sink.ostream.cpp index 10ce324..2e0ef7c 100644 --- a/test/unit/test.unit.sink.ostream/test.unit.sink.ostream.cpp +++ b/test/unit/test.unit.sink.ostream/test.unit.sink.ostream.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.sink.ostream project. * * Created: 28th May 2008 - * Updated: 9th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -30,17 +30,17 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.sink.ostream/vc6/test.unit.sink.ostream.dsp b/test/unit/test.unit.sink.ostream/vc6/test.unit.sink.ostream.dsp new file mode 100644 index 0000000..2e4c98d --- /dev/null +++ b/test/unit/test.unit.sink.ostream/vc6/test.unit.sink.ostream.dsp @@ -0,0 +1,833 @@ +# Microsoft Developer Studio Project File - Name="test.unit.sink.ostream" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.sink.ostream - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.ostream.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.ostream.mak" CFG="test.unit.sink.ostream - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.sink.ostream - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.ostream - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.sink.ostream - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.ostream - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.ostream.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.sink.ostream - Win32 Release" +# Name "test.unit.sink.ostream - Win32 Debug" +# Name "test.unit.sink.ostream - Win32 Release Multithreaded" +# Name "test.unit.sink.ostream - Win32 Debug Multithreaded" +# Name "test.unit.sink.ostream - Win32 Release Multithreaded DLL" +# Name "test.unit.sink.ostream - Win32 Debug Multithreaded DLL" +# Name "test.unit.sink.ostream - Win32 Unicode Release" +# Name "test.unit.sink.ostream - Win32 Unicode Debug" +# Name "test.unit.sink.ostream - Win32 Unicode Release Multithreaded" +# Name "test.unit.sink.ostream - Win32 Unicode Debug Multithreaded" +# Name "test.unit.sink.ostream - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.sink.ostream - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.sink.ostream - Win32 Release pseudoUNIX" +# Name "test.unit.sink.ostream - Win32 Debug pseudoUNIX" +# Name "test.unit.sink.ostream - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.sink.ostream - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.sink.ostream - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.sink.ostream - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.sink.ostream.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\memory\auto_buffer_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\ostream.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project diff --git a/test/unit/test.unit.sink.stringstream/implicit_link.cpp b/test/unit/test.unit.sink.stringstream/implicit_link.cpp index 2c4b600..b9f1316 100644 --- a/test/unit/test.unit.sink.stringstream/implicit_link.cpp +++ b/test/unit/test.unit.sink.stringstream/implicit_link.cpp @@ -4,13 +4,13 @@ * Purpose: Implicit link file for the test.unit.sink.stringstream project. * * Created: 31st August 2008 - * Updated: 11th August 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -18,17 +18,17 @@ * ////////////////////////////////////////////////////////////////////// */ -/* FastFormat Header Files */ +/* FastFormat header files */ #include -/* UNIXEm Header Files */ +/* UNIXem header files */ #include #if defined(PLATFORMSTL_OS_IS_UNIX) && \ defined(_WIN32) # include #endif /* operating system */ -/* xTests Header Files */ +/* xTests header files */ #include /* ///////////////////////////// end of file //////////////////////////// */ diff --git a/test/unit/test.unit.sink.stringstream/test.unit.sink.stringstream.cpp b/test/unit/test.unit.sink.stringstream/test.unit.sink.stringstream.cpp index de682ad..3d4ca2c 100644 --- a/test/unit/test.unit.sink.stringstream/test.unit.sink.stringstream.cpp +++ b/test/unit/test.unit.sink.stringstream/test.unit.sink.stringstream.cpp @@ -4,13 +4,13 @@ * Purpose: Implementation file for the test.unit.sink.stringstream project. * * Created: 31st August 2008 - * Updated: 9th April 2009 + * Updated: 25th September 2015 * * Status: Wizard-generated * * License: (Licensed under the Synesis Software Open License) * - * Copyright (c) 2008-2009, Synesis Software Pty Ltd. + * Copyright (c) 2008-2015, Synesis Software Pty Ltd. * All rights reserved. * * www: http://www.synesis.com.au/software @@ -30,17 +30,17 @@ * Includes */ -/* xTests Header Files */ +/* xTests header files */ #include -/* STLSoft Header Files */ +/* STLSoft header files */ #include -/* Standard C++ Header Files */ +/* Standard C++ header files */ #include #include -/* Standard C Header Files */ +/* Standard C header files */ #include #include diff --git a/test/unit/test.unit.sink.stringstream/vc6/test.unit.sink.stringstream.dsp b/test/unit/test.unit.sink.stringstream/vc6/test.unit.sink.stringstream.dsp new file mode 100644 index 0000000..b0398b6 --- /dev/null +++ b/test/unit/test.unit.sink.stringstream/vc6/test.unit.sink.stringstream.dsp @@ -0,0 +1,829 @@ +# Microsoft Developer Studio Project File - Name="test.unit.sink.stringstream" - Package Owner=<4> +# Microsoft Developer Studio Generated Build File, Format Version 6.00 +# ** DO NOT EDIT ** + +# TARGTYPE "Win32 (x86) Application" 0x0101 + +CFG=test.unit.sink.stringstream - Win32 Release +!MESSAGE This is not a valid makefile. To build this project using NMAKE, +!MESSAGE use the Export Makefile command and run +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.stringstream.mak". +!MESSAGE +!MESSAGE You can specify a configuration when running NMAKE +!MESSAGE by defining the macro CFG on the command line. For example: +!MESSAGE +!MESSAGE NMAKE /f "test.unit.sink.stringstream.mak" CFG="test.unit.sink.stringstream - Win32 Release" +!MESSAGE +!MESSAGE Possible choices for configuration are: +!MESSAGE +!MESSAGE "test.unit.sink.stringstream - Win32 Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Unicode Release" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Unicode Debug" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Unicode Release Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Unicode Debug Multithreaded" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Unicode Release Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Unicode Debug Multithreaded DLL" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Release pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Debug pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Release Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Debug Multithreaded pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Release Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE "test.unit.sink.stringstream - Win32 Debug Multithreaded DLL pseudoUNIX" (based on "Win32 (x86) Application") +!MESSAGE + +# Begin Project +# PROP AllowPerConfigDependencies 0 +# PROP Scc_ProjName "" +# PROP Scc_LocalPath "" +CPP=cl.exe +MTL=midl.exe +RSC=rc.exe + +!IF "$(CFG)" == "test.unit.sink.stringstream - Win32 Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "Release" +# PROP BASE Intermediate_Dir "Release" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "Release" +# PROP Intermediate_Dir "Release" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "Debug" +# PROP BASE Intermediate_Dir "Debug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "Debug" +# PROP Intermediate_Dir "Debug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMT" +# PROP BASE Intermediate_Dir "ReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMT" +# PROP Intermediate_Dir "ReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMT" +# PROP BASE Intermediate_Dir "DebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMT" +# PROP Intermediate_Dir "DebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLL" +# PROP BASE Intermediate_Dir "ReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLL" +# PROP Intermediate_Dir "ReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLL" +# PROP BASE Intermediate_Dir "DebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLL" +# PROP Intermediate_Dir "DebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Unicode Release" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "URelease" +# PROP BASE Intermediate_Dir "URelease" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "URelease" +# PROP Intermediate_Dir "URelease" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Unicode Debug" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebug" +# PROP BASE Intermediate_Dir "UDebug" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebug" +# PROP Intermediate_Dir "UDebug" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Unicode Release Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseMT" +# PROP BASE Intermediate_Dir "UReleaseMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseMT" +# PROP Intermediate_Dir "UReleaseMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Unicode Debug Multithreaded" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugMT" +# PROP BASE Intermediate_Dir "UDebugMT" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugMT" +# PROP Intermediate_Dir "UDebugMT" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Unicode Release Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "UReleaseDLL" +# PROP BASE Intermediate_Dir "UReleaseDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "UReleaseDLL" +# PROP Intermediate_Dir "UReleaseDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "NDEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Unicode Debug Multithreaded DLL" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "UDebugDLL" +# PROP BASE Intermediate_Dir "UDebugDLL" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "UDebugDLL" +# PROP Intermediate_Dir "UDebugDLL" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /D "WIN32" /D "_DEBUG" /D "_UNICODE" /D "UNICODE" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.widestring.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Release pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseUNIX" +# PROP BASE Intermediate_Dir "ReleaseUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseUNIX" +# PROP Intermediate_Dir "ReleaseUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Debug pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugUNIX" +# PROP BASE Intermediate_Dir "DebugUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugUNIX" +# PROP Intermediate_Dir "DebugUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Release Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseMTUNIX" +# PROP BASE Intermediate_Dir "ReleaseMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseMTUNIX" +# PROP Intermediate_Dir "ReleaseMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MT /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.mt.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Debug Multithreaded pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugMTUNIX" +# PROP BASE Intermediate_Dir "DebugMTUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugMTUNIX" +# PROP Intermediate_Dir "DebugMTUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MTd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.mt.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Release Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 0 +# PROP BASE Output_Dir "ReleaseDLLUNIX" +# PROP BASE Intermediate_Dir "ReleaseDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 0 +# PROP Output_Dir "ReleaseDLLUNIX" +# PROP Intermediate_Dir "ReleaseDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MD /W3 /WX /GR /GX /O2 /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "NDEBUG" /D "_MBCS" /D "_CONSOLE" /FD /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "NDEBUG" +# ADD RSC /l 0xc09 /d "NDEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.dll.exe" /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ELSEIF "$(CFG)" == "test.unit.sink.stringstream - Win32 Debug Multithreaded DLL pseudoUNIX" + +# PROP BASE Use_MFC 0 +# PROP BASE Use_Debug_Libraries 1 +# PROP BASE Output_Dir "DebugDLLUNIX" +# PROP BASE Intermediate_Dir "DebugDLLUNIX" +# PROP BASE Target_Dir "" +# PROP Use_MFC 0 +# PROP Use_Debug_Libraries 1 +# PROP Output_Dir "DebugDLLUNIX" +# PROP Intermediate_Dir "DebugDLLUNIX" +# PROP Target_Dir "" +# ADD BASE CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT BASE CPP /YX +# ADD CPP /nologo /MDd /W3 /Gm /GR /GX /Zi /Od /I "$(XTESTS_C_ROOT)/include" /I "../../../../include" /I "$(STLSOFT)/include" /I "$(UNIXEM)/include" /I "$(PTHREADS_WIN32)/include" /D "UNIX" /D "_STLSOFT_FORCE_ANY_COMPILER" /D "_DEBUG" /D "_MBCS" /D "_CONSOLE" /FD /GZ /c +# SUBTRACT CPP /YX +# ADD BASE MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD MTL /nologo /D "NDEBUG" /mktyplib203 /win32 +# ADD BASE RSC /l 0xc09 /d "_DEBUG" +# ADD RSC /l 0xc09 /d "_DEBUG" +BSC32=bscmake.exe +# ADD BASE BSC32 /nologo +# ADD BSC32 /nologo +LINK32=link.exe +# ADD BASE LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" +# ADD LINK32 kernel32.lib advapi32.lib ole32.lib oleaut32.lib /nologo /subsystem:console /debug /machine:I386 /out:"../../../../bin/test.unit.sink.stringstream.vc6.unix.dll.debug.exe" /pdbtype:sept /libpath:"$(XTESTS_C_ROOT)/lib" /libpath:"$(FASTFORMAT_ROOT)/lib" /libpath:"$(SHWILD_ROOT)/lib" + +!ENDIF + +# Begin Target + +# Name "test.unit.sink.stringstream - Win32 Release" +# Name "test.unit.sink.stringstream - Win32 Debug" +# Name "test.unit.sink.stringstream - Win32 Release Multithreaded" +# Name "test.unit.sink.stringstream - Win32 Debug Multithreaded" +# Name "test.unit.sink.stringstream - Win32 Release Multithreaded DLL" +# Name "test.unit.sink.stringstream - Win32 Debug Multithreaded DLL" +# Name "test.unit.sink.stringstream - Win32 Unicode Release" +# Name "test.unit.sink.stringstream - Win32 Unicode Debug" +# Name "test.unit.sink.stringstream - Win32 Unicode Release Multithreaded" +# Name "test.unit.sink.stringstream - Win32 Unicode Debug Multithreaded" +# Name "test.unit.sink.stringstream - Win32 Unicode Release Multithreaded DLL" +# Name "test.unit.sink.stringstream - Win32 Unicode Debug Multithreaded DLL" +# Name "test.unit.sink.stringstream - Win32 Release pseudoUNIX" +# Name "test.unit.sink.stringstream - Win32 Debug pseudoUNIX" +# Name "test.unit.sink.stringstream - Win32 Release Multithreaded pseudoUNIX" +# Name "test.unit.sink.stringstream - Win32 Debug Multithreaded pseudoUNIX" +# Name "test.unit.sink.stringstream - Win32 Release Multithreaded DLL pseudoUNIX" +# Name "test.unit.sink.stringstream - Win32 Debug Multithreaded DLL pseudoUNIX" +# Begin Group "Source Files" + +# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat" +# Begin Source File + +SOURCE=..\implicit_link.cpp +# End Source File +# Begin Source File + +SOURCE=..\test.unit.sink.stringstream.cpp +# End Source File +# End Group +# Begin Group "Header Files" + +# PROP Default_Filter "h;hpp;hxx;hm;inl" +# Begin Group "STLSoft Header Files" + +# PROP Default_Filter "" +# Begin Group "STLSoft" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_features.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\allocator_selector.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\std\alt.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\memory\auto_buffer.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\basic_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\c_string.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\capabilities.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\string\char_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\collections\util\collections.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\constraints.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\std\exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\exception_string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string\fwd.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_integral_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\is_same_type.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\iterator_helper.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std\library_discriminator.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\util\meta_.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\msvc.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\cccap\obsolete.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\os_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\algorithms\pod.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\project_exception.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\internal\safestr.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\select_first_type_if.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\sign_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\size_of.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\size_traits.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\util\std_swap.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\shims\access\string.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\error\throw_policies.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\stlsoft\meta\yesno.hpp" +# End Source File +# End Group +# Begin Group "PlatformSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\platformstl\platformstl.hpp" +# End Source File +# End Group +# Begin Group "UNIXSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\synch\util\features.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\unixstl\unixstl.h" +# End Source File +# End Group +# Begin Group "WinSTL" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\error_functions.h" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\error\exceptions.hpp" +# End Source File +# Begin Source File + +SOURCE="$(STLSOFT)\include\winstl\winstl.h" +# End Source File +# End Group +# End Group +# Begin Group "FastFormat Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.first_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\test\util\compiler_warnings_suppression.last_include.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\quality\contract.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\fastformat.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\util\sinks\helpers.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\format\standard_flags.hpp" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\stlsoft.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\internal\string_encoding.h" +# End Source File +# Begin Source File + +SOURCE="$(FASTFORMAT_ROOT)\include\fastformat\sinks\stringstream.hpp" +# End Source File +# End Group +# Begin Group "UNIXem Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(UNIXEM)\include\unistd.h" +# End Source File +# End Group +# Begin Group "xTests Header Files" + +# PROP Default_Filter "" +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\implicit_link.h" +# End Source File +# Begin Source File + +SOURCE="$(XTESTS_C_ROOT)\include\xtests\xtests.h" +# End Source File +# End Group +# End Group +# End Target +# End Project